12+ Компоненты и технологии
C o m p o n e n t s www.kit-e.ru
&
Te c h n o l o g i e s
№ 1 ’2022 (январь)
ISSN 2079-6811
№ 1 ’2022
Датчики
Высоковольтные соединители GES High Voltage
январь Components & Technologies Реклама
Обзор семейства Xilinx Artix UltraScale+
Активные компоненты AC/DC-источников питания
Реклама
Реклама
Реклама
1 (246) '2022
1 (246) '2022
Главный редактор Правосудов Павел Викторович | pavel@fsmedia.ru Заместитель главного редактора Ольга Дорожкина (Зайцева) | olga_z@fsmedia.ru Выпускающий редактор Ксения Притчина | ksenia.pritchina@fsmedia.ru
Содержание Рынок Владимир Рентюк 2021 год мы пережили: что ждет полупроводниковую промышленность и потребителей в ближайшем будущем?
Дизайн и верстка Ольга Ворченко | olga@fsmedia.ru Отдел рекламы Ирина Миленина | irina@fsmedia.ru Отдел подписки
Есть мнение Владимир Стешенко, Михаил Краснов, Евгений Лукьянов Алгоритм унификации номенклатуры перспективной электронной компонентной базы для космической промышленности
12
podpiska@fsmedia.ru
Москва ул. Южнопортовая, д. 7, строение Д, этаж 2 Тел./факс: (495) 987-3720 СанктПетербург 197046, Санкт-Петербург, Петроградская наб., д. 34 литер Б, помещение 1-Н, офис 321в Тел. (812) 467-45-85 email: compitech@fsmedia.ru, web: www.kit-e.ru
Республика Беларусь «ПремьерЭлектрик» Минск, ул. Маяковского, 115, 7й этаж Тел./факс: (10*37517) 2973350, 2973362 Отдел распространения СанктПетербург: fps@fsmedia.ru
Подписные индексы Агентство «Урал-Пресс» «Почта России»
80743 П4209
Журнал «Компоненты и технологии» зарегистрирован Управлением Федеральной службы по надзору в сфере связи, информационных технологий и массовых коммуникаций по Санкт-Петербургу и Ленинградской области. Свидетельство о регистрации ПИ №ТУ 78-00653 от 23 июля 2010 года. Учредитель ООО «Издательство Файнстрит» Адрес редакции 197046, Санкт-Петербург, Петроградская наб., д. 34 литер Б, помещение 1-Н, офис 321в Издатель ООО «Медиа КиТ» 197046, Санкт-Петербург, Петроградская наб., д. 34 литер Б, помещение 1-Н, офис 321в
Датчики Владимир Гущин, Игорь Корепанов, Илья Слепченков Серия высоконадежных интегральных датчиков температуры Джелени Родригез (Jellenie Rodriguez), Мэри МакКарти (Mary McCarthy) Перевод: Евгений Ивашенко Как выбрать и спроектировать оптимальную систему измерения температуры на основе резистивных термодатчиков
28
Олег Болотин, Григорий Портной, Константин Разумовский, Олег Яценко Датчики измерения активной мощности и другие приборы контроля электрических параметров
33
Александр Шведов ToF сегодня. Получение 3D-изображения: необходимо или избыточно
36
6
Редактор Наталья Новикова | Natalia.Novikova@fsmedia.ru Редакционная коллегия Александр Фрунзе, Иосиф Каршенбойм, Виктор Лиференко, д. т. н., профессор Владимир Махов, д. т. н.
Александр Малышев Интеллектуальные цифровые датчики газа iSeries компании Honeywell
16
18
Юрий Пономарёв, Антон Комяков Инерциальные модули компании Xsens: математические алгоритмы совместно с современными МЭМС-технологиями как надежное решение задач ориентации и навигации 40 Крис Гудалл (Chris Goodall), Сара Кармайкл (Sarah Carmichael), Боб Цаннелл (Bob Scannell) Перевод: Михаил Русских Гироскопы в прецизионных навигационных системах: какую технологию выбрать?
44
Отпечатано в типографии «Премиум Пресс» 197374, Санкт-Петербург, ул. Оптиков, 4. Дата выхода в свет 21.01.22 Тираж 6000 экз. Свободная цена Редакция не несет ответственности за информацию, приведенную в рекламных материалах. Полное или частичное воспроизведение материалов допускается с разрешения ООО «Медиа КиТ». Журнал включен в Российский индекс научного цитирования (РИНЦ). На сайте Научной электронной библиотеки eLIBRARY.RU (www.elibrary.ru) доступны полные тексты статей. Статьи из номеров журнала текущего года предоставляются на платной основе. Возрастное ограничение 12+
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Реклама
1 (246) '2022
1 (246) '2022
Editorinchief Pavel Pravosudov | pavel@fsmedia.ru Deputy of editorinchief Olga Dorozhkina (Zaytseva) | olga_z@fsmedia.ru Managing editor Ksenia Pritchina | ksenia.pritchina@fsmedia.ru Editor Natalia Novikova | Natalia.Novikova@fsmedia.ru Editorial staff Alexander Frunze Victor Liferenko Joseph Karshenbojm Vladimir Mahov Design and layout Olga Vorchenko | olga@fsmedia.ru Advertising department Irina Milenina | irina@fsmedia.ru Subscription department podpiska@fsmedia.ru
Moscow 7, building D, floor 2, Yuzhnoportovy str., Moscow, Russia Tel. +7 (495) 987-3720 St. Petersburg of. 321v., pom 1-H, b. 34 “B”, Petrogradskaya Emb., St. Petersburg, 197046, Russia Tel. (812) 4381538 Fax (812) 3460665 email: compitech@fsmedia.ru web: www.kit-e.ru
Содержание На правах рекламы
Проектирование
Микросхемы быстродействующих приемопередатчиков интерфейса LVDS 5560ИН11У и 5560ИН12У 49
Валентин Володин Многовариантный анализ в LTspice
Компоненты
Татьяна Колесникова Проектирование схем микроэлектронных устройств с использованием клавиатуры в Proteus. Часть 2 84
Константин Верхулевский Высоковольтные электрические соединители компании GES High Voltage для науки, робототехники и медицины Илья Тарасов Обзор семейства Xilinx Artix UltraScale+ и перспективы применения ПЛИС Xilinx начального уровня Дмитрий Дайнеко Проект динамической смены конфигурации ПЛИС семейства MAX10. Часть 2 Виктор Безродный Радиационно стойкие модули DC/DC-преобразователей напряжения для систем электроснабжения с постоянным напряжением
50
56
Андрей Тимофеев Введение в протокол OCPP 1.6. Часть 1 Стив Робертс (Steve Roberts) Перевод и комментарии: Владимир Рентюк Активные компоненты AC/DC-источников питания
79
98
100
Блоки питания 59
74
Алексей Шиганов АКИП‑1160 — новая бюджетная серия компактных импульсных источников питания мощностью до 300 Вт
110
Belarus Republic Minsk, Premier Electric Tel./fax: (10*37517) 2973350, 2973362 Circulation department St. Petersburg: Victor Zolotarev | victor.zolotarev@fsmedia.ru Subscription index for Components & Technologies Rospetchat Agency catalogue subscription index 80743 Age limit 12+
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Реклама
6
рынок
2021 год мы пережили: что ждет полупроводниковую промышленность и потребителей в ближайшем будущем?
Владимир Рентюк Rvk.modul@gmail.com
Закончился год, а значит, наступило время подводить итоги и посмотреть в будущее. Но будущего нет без прошлого: казалось, 2019 год был чрезвычайно сложным для мирового рынка производства полупроводников, их продажи в августе 2019‑го упали на 15,9% по сравнению с $40,7 млрд в августе 2018‑го [1]. Тем не менее отрасль готовилась к активному росту. Ожидалось, что продажи, пусть и более медленными темпами, будут расти и к концу 2022 года достигнут $572 млрд. Однако, когда человек строит планы, Бог сидит и улыбается. Так получилось и в этот раз — как черт из табакерки появился вирус COVID‑19, приведший к пандемии. В одночасье все рухнуло, и в 2020‑м прогнозы пришлось корректировать — и не в сторону роста…
Вместо введения: что ожидалось в конце 2019 года Ожидалось, что полупроводниковая промышленность будет стимулироваться огромным и растущим спросом на кремний, способный удовлетворить следующее поколение мощных приложений искусственного интеллекта (ИИ), причем большая часть спроса будет исходить от промышленного и автомобильного рынков. В частности, ожидалось, что в ближайшие несколько лет автомобильный рынок будет расти самыми быстрыми темпами, поскольку автопроизводители продвигаются вперед в проектировании и разработке автономных транспортных средств, передовых систем помощи при вождении (ADAS) и графических процессоров (GPU). В 2019 году автомобильная промышленность имела долю примерно 10–12% рынка микросхем. Считалось, что не только автомобильный сектор поможет остановить падение рынка полупроводников, отмеченное в 2019 году. Перспективной палочкой-выручалочкой представлялось внедрение 5G и сопряженных с ним технологий на рынке связи, которые требовали не просто новых подходов, а новых решений [2]. Но его развитие откладывалось до 2021 или даже до 2022 года, до тех пор, по прогнозам, доминирующим потребителем полупроводников
оставался рынок сотовой связи 4G LTE. Так что индустрия смартфонов справедливо была оценена как крупнейший потребитель полупроводников, доминирующий над всеми другими сегментами рынка. Ожидалось, что бизнес смартфонов вернется к ежегодному росту поставок, а это приведет к увеличению доходов рынка полупроводников и после 22%-ного падения в 2019 году продажи чипов для смартфонов вырастут примерно на 7%. Надежды возлагались и на новые телевизоры, портативные устройства, игровые консоли и цифровые приставки. Что касается изготовителей чипов — фаундри, то в 2019‑м считали, что предприятия в Китае и на Тайване работают очень хорошо и вносят значительный вклад в развитие рынка. Доминирующее производство в регионе было сосредоточено на Тайване, крупнейшим игроком была TSMC — тайваньская компания, занимающаяся изучением и выпуском полупроводниковых изделий. Благодаря лидерству компании в передовом производстве, в том числе в корпусировании нового поколения, ее положение флагмана было бесспорным. Главным конкурентом TSMC была компания Samsung, которая для того, чтобы попытаться превзойти TSMC как мирового лидера в этой области, запланировала увеличение своего бизнеса по производству логических микросхем на $115 млрд. В Китае поддерживаемые правительством инициативы, такие как «Сделано в Китае», обеспечивают рост отечественных фаундри, чтобы повысить глобальную конкурентоспособность. Аналитики считали, что в течение 2020 года и в последующий период Азиатско-Тихоокеанский регион продолжит лидировать на мировом рынке и останется крупнейшим источником доходов отрасли. Основной рост производства предполагался в Китае как крупнейшем импортере чипов, что могло измениться по мере продолжения роста его собственных фаундри. Но был тревожный звоночек: требовалось гарантировать доступность полупроводников в случае ужесточения торговой войны между США и Китаем. Если кратко подвести итог по доковидным прогнозам, то считалось, что, кроме сотовой связи, катализатором роста рынка полупроводников будет ИИ, а автомобилестроение — самой быстроразвивающейся областью роста спроса, поскольку автомобили становятся умнее, более взаимосвязанными и автоматизированными. И тут Бог улыбнулся.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
рынок
7
И тут грянул гром В течение нескольких месяцев после начала распространения коронавируса компании, производящие полупроводники, предприняли решительные шаги по защите сотрудников, обеспечению безопасности цепочек поставок и решению других насущных проблем. В начале, надеясь на медицину и обычные для предыдущих «нападений» вирусов меры, все полагали, что пройдет месяц-два и ситуация будет под контролем. Но все оказалось гораздо серьезнее, и многие правительства потребовали не только использования средств индивидуальной защиты, но и физического дистанцирования, часть производств, в надежде просто пересидеть и переждать волну вируса, временно закрылась. Оптимизм уступил место пессимизму, и трезво оценивающие положение дел вынуждены были руководствоваться принципом французского философа Мишеля Монтеня, изложенным в его «Опытах», представляя ситуацию в самом худшем варианте ее развития. Тогда реальное развитие будет лучше того, к чему готовились изначально, а принятые меры позволят быстрее преодолеть негативные последствия. Соответственно уже в начале 2020 года компаниям пришлось думать о стратегиях переосмысления и реформирования своих бизнес-моделей. В статье [3], посвященной краткосрочной и среднесрочной перспективе спроса на полупроводники, анализ частично основан на предположениях в двух из девяти сценариев, разработанных аналитиками компании McKinsey1 [4] для восстановления после COVID‑19. Оба сценария предполагали, что распространение коронавируса в конечном итоге будет контролироваться и катастрофический экономический ущерб удастся предотвратить. В первом, оптимистичном сценарии, мировой валовый внутренний продукт (ВВП) восстанавливается в четвертом квартале 2020 года, а во втором, пессимистичном, который, к сожалению, начал сбываться, восстановление отложено до конца 2022 года. И это мы еще не знаем, что принесет прививочная кампания при распространении штамма «омикрон» и какими будут дальнейшие мутации. Оба сценария восстановления предполагали, что в большинстве полупроводниковых сегментов будет наблюдаться отрицательный рост выручки в годовом исчислении в 2020 году. Однако в перспективе до конца 2021 года, как ожидалось, ситуация по мере восстановления большинства конечных рынков улучшится. Тем не менее к 2021 году даже в более оптимистичном сценарии только несколько сегментов соответствуют ожиданиям роста, как прогнозировалось до появления 1 McKinsey&Company — международная консалтинговая компания, специализирующаяся на решении задач, связанных со стратегическим управлением. В качестве консультанта сотрудничает с крупнейшими мировыми компаниями, государственными учреждениями и некоммерческими организациями.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Рис. 1. В более оптимистичном сценарии после падения в нескольких сегментах производство полупроводников до уровней, существовавших до COVID‑19, должно было восстановиться уже к 2021 году
Рис. 2. В менее оптимистичном сценарии прогнозируемый спрос на полупроводники в большинстве сегментов не вернется к уровням до COVID‑19 к 2021 году
COVID‑19. В более пессимистичном сценарии количество восстанавливающихся сегментов рынка полупроводниковой индустрии еще
меньше. Для сравнения оба прогноза представлены на рис. 1, 2. Следует отметить, что реальность уже превзошла даже самые негаwww.kite.ru
8
рынок
тивные сценарии, которые рассматривались в начале 2021 года. Так, изза дефицита чипов очень сильно пострадал автопром, а резкое, не связанное с COVID‑19, но связанное с политикой удорожание в несколько раз энергоносителей нанесло свой удар по индустрии, в том числе и полупроводниковой. По всей вероятности, эта тенденция, описанная в худшем варианте на конец 2021 года, сохранится и в 2022 году, а негативное влияние COVID‑19 может усугубиться еще более негативным влиянием политических тенденций, которые как снежный ком нарастали в последние дни 2021 года.
Как полупроводниковая промышленность может стать сильнее после кризиса COVID‑19: разработка сценариев восстановления Каждый аспект бизнес-модели может быть изменен, включая состав портфелей продуктов, капитальные затраты, стратегию НИОКР, прогнозы спроса, изменение цепочек поставок, производственные решения и варианты слияний и поглощений. Но в рамках имеющейся неопределенности, учитывая, что на смену одному штамму вируса приходит новый, еще более заразный (так, вирус «дельта» сейчас замещает «омикрон»), полупроводниковые компании могут столкнуться с трудностями при принятии стратегических решений. Соответственно, чтобы двигаться вперед, они должны сначала установить прочную основу для своей деятельности в новых реалиях (здесь и далее, кроме указанных особо, использованы аналитические материалы, представленные в [2], и прогнозы компании McKinsey). Только имея такой фундамент, компании, производящие полупроводники, могут проложить путь к очередной норме, сосредоточив внимание на следующих вопросах: • Какие сценарии восстановления наиболее вероятны с учетом меняющегося спроса, экономического развития и других глобальных изменений? • Как кризис COVID‑19 повлиял и повлияет на долгосрочные тенденции и спрос? • Как выйти из кризиса и стать еще сильнее? Во время прошлых экономических спадов компании, которые задумывались над стратегическими вопросами в начале кризиса, быстро восстановились и стали лидерами рынка. Хотя пандемия COVID‑19 является беспрецедентной, как никогда ранее актуальной является необходимость в долгосрочном планировании. И если вы собираетесь оставаться в бизнесе, то нужно не ждать у моря погоды, а действовать — разрабатывать и иметь под рукой сценарии восстановления. COVID‑19 значительно изменил основы сектора, включая поведение клиентов, доходы от бизнеса и многочисленные аспекты корпоративных операций. У многих компаний неясные перспективы на будущее, а некоторые могут не пережить кризис. Так что возможно несколько сценариев восстановления, в зависимости от потенциального вмешательства правительства и других переменных, которые сейчас трудно предсказать. После 2021 года компаниям, производящим полупроводники, может быть труднее прогнозировать спрос. Это связано с тем, что в отношении решения проблем здравоохранения для бизнеса возникает еще большая неопределенность. Поскольку компании создают долгосрочные планы и оценивают возможные сценарии, кроме традиционных рынков, необходимо улавливать и новые тенденции, а также уделять внимание изменениям в бизнес-моделях. Новые тенденции на рынке труда, образования и ухода за пациентами За последние несколько месяцев люди во всем мире экспериментировали с новыми способами работы, учебы и общения с помощью видео-конференц-связи и других технологий. Такие тенденции могут иметь длительное влияние на спрос на полупроводники и открыть новые возможности для существующих продуктов и услуг. Например, спрос на полупроводники, которые позволяют использовать серверы, сетевое подключение и облака, может увеличиться по мере роста
онлайн-сотрудничества. Полупроводники также могут пользоваться большим спросом для следующих продуктов и услуг: • бесконтактные решения; • устройства, не имеющие механических контактов и подвижных частей, такие как сенсорные экраны и кнопки лифта; • устройства для удаленной медицинской помощи, которые помогают пожилым и хронически больным пациентам оставаться в своих домах и не приезжать в медицинские учреждения; • решения для автоматизированной доставки для «последней мили», такие как роботы и дроны; • цифровые рабочие процессы и «Интернет вещей», особенно в ранее отстающих секторах, таких как здравоохранение, правительство и оборона. Конечно, COVID‑19 может снизить спрос на полупроводники в нескольких важных областях. Так, некоторые автопроизводители уже начали откладывать инвестиции в автономное вождение, потому что их более низкие доходы означали и меньшее финансирование, доступное для НИОКР. В других областях тенденции спроса предсказать сложно. Если снова взглянуть на мобильность населения, то становится ясно, что общественный транспорт сейчас менее популярен, потому что люди опасаются передачи вируса. Если количество пассажиров в метро и автобусах останется низким или в случае, если больше людей начнут покупать частные автомобили, спрос на полупроводники в ответ может измениться. В 2021 году мировые производители оставили покупателей без миллионов машин: из-за проблем с цепочками поставок и нехватки микрочипов автогиганты выпустили почти на 10 млн машин меньше (The Telegraph со ссылкой на исследование аналитической компании LMC Automotive). Исследование показало, что в 2021 году в мире производство легковых автомобилей сократилось на 9,6 млн. Наиболее сильно пострадали европейские изготовители. Реакция на новые вызовы и перестройка в индустрии Есть обоснованные предположения о том, что пандемия выявила риски, которые ранее не осознавались, это, собственно, и привело к потенциальной нехватке критически важных деталей и компонентов. В ответ многие полупроводниковые компании уже реконфигурируют свои цепочки поставок для повышения их отказоустойчивости, и подобные изменения могут продолжиться до следующего уровня. В процессе планирования полупроводниковые компании могут захотеть создать сценарии, показывающие потенциальное влияние локализации производства, увеличения запасов и уровней запасов или внесения неких других изменений. На предприятиях кризис, вызванный COVID‑19, может ускорить автоматизацию и внедрение технологий «Индустрии 4.0». Удаленное производство, диагностика и обслуживание могут стать постоянными функциями. Если это произойдет, компании, выпускающие полупроводники, могут превратиться в интеллектуальные рабочие места с технологиями, облегчающими удаленную работу для большинства сотрудников. Они также могут поощрять гибридную модель, в которой определенное количество сотрудников работают удаленно, а остальные остаются на месте. Эффективность, полученная в результате данных изменений, а также их начальные затраты могут повлиять на будущие доходы от полупроводников. При планировании долгосрочного сценария необходимо также учитывать геополитический ответ на кризис COVID‑19. Для стимулирования местной экономики правительства нескольких стран уже объявили о субсидиях и льготах, но они сильно различаются в зависимости от региона. Китай, например, объявил о расширенных государственных субсидиях и налоговых льготах для потребителей, покупающих новые электромобили, в то время как США снизили стандарты топливной эффективности для автопроизводителей. Компании, производящие полупроводники, должны внимательно отслеживать такие региональные различия, способные повлиять на структуру спроса, и обращать внимание на то, эволюционирует ли реакция местных органов власти.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
рынок
9
Умеренное сокращение капитальных затрат Значительное сокращение капитальных вложений неизбежно, если компаниям потребуется большая ликвидность, чтобы пережить кризис. Но опыт показывает, что для компаний, находящихся в лучшем финансовом положении, огромные сокращения — это не лучшая стратегия. Во время Великой рецессии многие из сегодняшних ведущих компаний сократили капитальные затраты меньше, чем их конкуренты, и, таким образом, были лучше подготовлены к росту, последовавшему за восстановлением экономики. В условиях нынешнего кризиса компании, которые реализуют планы по созданию продуктов следующего поколения, закупке оборудования или осуществлению аналогичных инвестиций, будут готовы к резкому росту спроса по мере восстановления экономики. Тем, кто сдерживается, может быть трудно наверстать упущенное, поскольку некоторые улучшения могут занять годы. Сосредоточение бюджета на НИОКР и на продуктах следующего поколения Для поддержания сильной стратегии НИОКР во время кризиса критически важными могут быть три действия: • Ограничение сокращения бюджетов на НИОКР. Как и в случае с капитальными затратами, исследования показывают, что во время спада ведущие компании склонны умеренно сокращать НИОКР, что позволяет им поддерживать богатый и постоянно развивающийся портфель продуктов. Если проблемы с ликвидностью не потребуют более значительных сокращений, компаниям следует стремиться финансировать инновации, а не устанавливать минимальный бюджет, необходимый для продолжения исследований и разработок. Те компании, которые сейчас сохраняют внимание к инновациям в области НИОКР, могут получить долгосрочное преимущество перед конкурентами, учитывая зачастую длительные сроки разработки новых продуктов. В некоторых случаях отстающие конкуренты могут никогда не преодолеть возникший инновационный разрыв. • Ориентация на продукты следующего поколения. Хотя потребители полупроводников, возможно, сейчас ограничивают свои расходы, спрос на новые и инновационные продукты может вырасти, как только экономика начнет восстанавливаться. Вместо того чтобы с помощью современных технологий просто улучшать продукты, компаниям следует также инвестировать в продукты следующего поколения, используя новые технологии. Возможно, они не получат доход от этих продуктов в течение следующих 12–24 месяцев, но будут иметь хорошие позиции, как только потребительский спрос резко возрастет. • Внимательно следить за тенденциями. Дальновидные полупроводниковые компании попытаются определить, какие продукты будут вызывать наибольший спрос после COVID‑19, и, соответственно, расставить приоритеты в своих инвестициях в НИОКР. Их анализ должен охватывать все области, от новых производственных технологий, которые позволяют использовать меньшие размеры процессов, до более инновационных датчиков. Чтобы принимать правильные решения, полупроводниковые компании должны внимательно следить за новыми тенденциями и поведением клиентов. Если происходят неожиданные рыночные сдвиги, им может потребоваться и новый курс. Стратегический подход к слияниям и поглощениям Компании, производящие полупроводники, также могут стать сильнее после кризиса COVID‑19, если примут стратегический систематический подход к инвестициям и продаже активов. Ретроспективный межотраслевой анализ 1000 предприятий показывает, что сегодняшние 100 компаний на 10% чаще совершали программные слияния и поглощения и регулярно проявляли стремление к заключению небольших сделок, как это было во время Великой рецессии и не раз после нее (рис. 3). Что касается продаж, то 100 крупнейших компаний также в 1,5 раза больше распродавали ценные бумаги из опасения снижения курса, чем их коллеги, во время экономического спада. Еще один поразительный результат — ведущие компании с большей вероятностью
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Рис. 3. Объем сделок среди ведущих компаний выше, но размер сделок при этом меньше
будут заключать более мелкие сделки. В целом средняя стоимость их сделки была примерно на 9% ниже, чем у конкурентов. Здесь можно перефразировать высказывание героя из известного кинофильма: «Вовремя продать — это не продать, это предвидеть». Планово осуществляемый подход к слияниям и поглощениям [5] хорошо подходит для нынешней эпохи, поскольку правительства могут ввести более строгий контроль над крупными сделками, чтобы ограничить иностранные инвестиции. Возможно, некоторые меры защиты могут распространяться даже на более мелкие сделки, чтобы защитить местный бизнес от враждебных поглощений со стороны международных компаний, поэтому участники рынка полупроводников, прежде чем приступать к какой-либо деятельности по слияниям и поглощениям, должны внимательно изучить региональные правила. Кроме того, можно нарваться и на санкции.
Первые итоги 2021 года и уточненные перспективы для полупроводниковой промышленности Пока, несмотря на пессимизм 2020 года, прогнозы на 2021‑й, приведенные на графиках рис. 2, в общем сбываются. Международная отраслевая организация World Semiconductor Trade Statistics (WSTS), в состав которой входят десятки ведущих мировых полупроводниковых производителей, повысила прогноз по мировому рынку чипов. А Европейская ассоциация полупроводниковой промышленности (ESIA) [6] ожидает, что мировой рынок полупроводников вырастет с 6,8% в 2020 году до 25,6% в 2021‑м. Такое увеличение соответствует размеру рынка в $553 млрд. Это будет самый большой рост с 2010 года — тогда повышение составило 31,8% роста. Можно с уверенностью сказать, что в 2021 году рынок полупроводников в целом не только устоял, но и показал оживление. Высокий потребительский спрос подтолкнул все основные категории продуктов, за исключением оптоэлектроники, к двузначным темпам роста. Наибольший вклад в рост вносит память (34,6%), за ней следуют аналоговые (30,9%) и логические (27,3%) микросхемы. Ожидается, www.kite.ru
10
рынок
что по итогам 2021 года все географические регионы продемонстрируют двузначный рост. Так, Азиатско-Тихоокеанский регион вырастет на 26,7%, Европа полностью восстановится в 2021 году и, как ожидается, покажет рост рынка на 25,6%, в Северной и Южной Америке рост составит 24,6%, а в Японии — 19,5%. Предполагается, что в 2022 году мировой рынок полупроводников продолжит расти. Согласно прогнозам, к 2022 году он увеличится на 8,8%, до $601 млрд за счет двузначного роста категории интегральных датчиков и логики. Но все остальные товарные категории также продемонстрируют положительные темпы развития во всех регионах. Если, конечно, Бог опять не улыбнется и мы не подвергнемся нападению еще одной вирусной мутации или в дело вмешается политика и политики, создав нечто похуже Карибского кризиса. Соответственно, полупроводниковая индустрия не должна почивать на лаврах, а продолжать движение в сторону изменений, следуя веяниям времени, не допустив, чтобы ее опять застали врасплох, как в 2019 году.
Перспективы потребителей полупроводников и чипов Что касается потребителей, спад полупроводникового производства привел к вполне естественному дефициту полупроводников и, следовательно, росту цен. В настоящее время, чтобы минимизировать накладные расходы и воспользоваться преимуществами передовых технологий без их разработки, многие ведущие компании-изготовители передают свое производство на аутсорсинг. Однако фирмы, использующие эту стратегию, могут пострадать от внезапного повышения затрат, если они заранее не зарезервируют производственные площади. В последнее время производители микросхем, которые работают в партнерстве с тайваньскими литейными заводами, вплотную занялись этой проблемой. Однако в начале 2022 года тайваньская компания TSMC инициировала повышение цен на 10–20%. United Microelectronics (UMC) последует этому примеру, повысив стоимость услуг почти на 10%. Сообщается, что Vanguard International Semiconductor (VIS) и Powerchip Semiconductor Manufacturing уже обсудили со своими клиентами резкий скачок цен в первом квартале 2022 года [7]. Более того, ведущие руководители и инсайдеры компаний — производителей микросхем считают, что нехватка компонентов будет продолжаться в течение 2023 года. Соответственно, в течение 2022 года цепочка поставок электронных компонентов столкнется с задержками по времени, проблемами доступности и колебаниями цен. Ведущие производители и потребители микросхем сходятся во мнении, что, поскольку из-за пандемии коронавируса интерес к электронным устройствам и оборудованию резко возрос, текущий уровень производственных мощностей уже недостаточен. Тем не менее руководители компаний, поставляющих несколько компонентов, полагают, что во второй половине 2022 года эта проблема будет решена. С этой целью, в ответ на кризис, осуществляются многомиллиардные инвестиции в строительство к 2023 году новых заводов и производственных линий по выпуску полупроводниковой продукции. Также следует отметить, что в 2021 году уже началось сооружение 19 заводов для развития массового производства. Это же касается и фаундри: в преддверии третьего квартала 2022 года вводящиеся в эксплуатацию новые производственные мощности чипов частично облегчат ситуацию. Хотя до окончательного решения проблемы с нехваткой микросхем еще далеко, к лету 2022 года ситуация должна стать уже гораздо менее острой [7]. Что касается автопрома, в первой половине 2022 года сегмент автомобильных компонентов станет основным рынком сбыта. В публикации компании DigiTimes [8] прогнозировалось, что спрос на микроконтроллеры (MCU) и интегральные схемы управления питанием (PIMC) останется высоким во всем мире. В ответ провайдеры быстро пытаются воспользоваться ситуацией. Из-за этой тенденции DigiTimes ожидает с начала года повсеместного роста цен на автомобильные запчасти и отмечает [8], что производители автокомпонентов намерены поднять цены на 10–20%. Этот рост частично вызван недавним дефицитом ключевого сырья и ограниче-
ниями использования электроэнергии китайским правительством, что привело к обострению дефицита полупроводниковых материалов в начале четвертого квартала. Следовательно, покупатели должны ожидать, что в начале 2022 года им придется платить больше за продукты, изготовленные NXP Semiconductors, Renesas Electronics, Texas Instruments и другими поставщиками. Теоретически рыночная коррекция цен на автомобильные комплектующие может произойти к середине года, когда все больше фабрик начнут выходить в Интернет. Но у фаундри мало стимулов снижать прибыль в условиях интенсивного спроса со стороны конечного рынка. Но если все, что касается автопрома, имеет тенденцию к подорожанию, то цены на флэш-память снизятся. Инсайдеры отрасли ожидают, что цены на DRAM и NAND в 2022 году уменьшатся [7]. Однако они также прогнозируют, что при этом их доступность все равно станет более серьезной проблемой для конкретных продуктов. Nikkei Asia сообщает, что Nanya Technology и Micron Technology, два из ведущих поставщиков сектора, ожидают в первом квартале 2022 года не роста, а лишь сохранения доходов. Поскольку глобальная нехватка микросхем ограничивает поставки многих компонентов, интерес к продуктам флэш-памяти снизился. Действительно, многие ведущие производители оригинального оборудования признали, что им нужны достаточные запасы материалов для удовлетворения традиционного спроса лишь в конце 2021 — начале 2022 года. Соответственно негативные последствия Рождества окажут давление на поставщиков NAND и DRAM в наступившем году. Team Group, производитель памяти и устройств хранения данных, прогнозирует, что цены на DRAM упали в четвертом квартале 2021 года и продолжат снижаться в первой половине 2022 года, но к середине года рынок флэш-памяти ужесточится. К росту цен приведет возобновление спроса на рынках центров обработки данных и корпоративных твердотельных накопителей. Производители смартфонов и ПК тоже будут покупать больше модулей в ответ на интерес потребителей к устройствам с большей емкостью памяти. Аналогично обозреватели рынка ожидают, что доступность специализированных модулей памяти DRAM и NOR-флэш снизится из-за изменения рыночных приоритетов. Samsung и SK Hynix стремятся сделать меньше нишевых продуктов, чтобы открыть больше производственного пространства для прибыльных модулей CMOS. Помимо модулей флэш-памяти, инсайдеры полагают, что в 2022 году будут ограничены поставки для сетевого и серверного рынка. Изготовители серверных компонентов, имеющие заводы в Китае, уже испытали падение производительности в четвертом квартале. В настоящее время, преодолевая разрыв между спросом и предложением, составляющий 20–30%, производители плат используют свои складские запасы уже в первой половине 2022 года. Впоследствии поставщики ожидают, что они не смогут удовлетворить потребности своих клиентов. Wiwynn, корпорация серверных стоек, также прогнозирует, что дефицит нарушит ее работу в первом квартале. Производители электронного оборудования и устройств считают, что в новом году они столкнутся с дефицитом сетевых микросхем. Wistron Ne Web, концерн в области коммуникационного оборудования, заявил, что возможности поставок МОП-транзисторов, компонентов Wi-Fi и PMIC подрывают его обязательства по выполнению заказов. По оценкам фирмы, в настоящее время спрос на эти товары превышает доступное предложение на 20%. Тем не менее они полагают, что узкое место исчезнет весной. Еще одна проблема, связанная с пандемией и ее ударом по промышленности, — выход на рынок контрафактной продукции [9]. Если для производителей и потребителей последние несколько лет были периодом неопределенности и разочарования, то для преступников пандемия коронавируса и глобальная нехватка чипов представляют собой ни с чем не сравнимую возможность нажиться на чужих бедах. Поскольку OEM-производители, CM и поставщики услуг EMS изо всех сил пытались сохранить свои бизнесы, им начали предлагать поддельные электронные компоненты. Минувшим летом Ars Technica сообщила, что отраслевые инсайдеры заметили всплеск фальшивых запчастей, проникающих в гло-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
рынок
бальную цепочку поставок. Публикация [9] приписывает это развитие компаниям, которые в отчаянии отказались от своей обычной политики проверки поставщиков. В результате фирмы платили большие суммы за покупку некачественно корпусированной микроэлектроники и восстановленных б/у компонентов из утилизированной электроники. Подобные инциденты с поставками стоят бизнесу не только денег, но и репутации на высококонкурентном рынке.
Заключение После кризиса COVID‑19 мир станет другим, и мы еще не знаем, насколько изменится бизнес, какие вызовы ждут здравоохранение и общество в целом. При таких туманных перспективах полупроводниковые компании получат выгоду, только создав несколько сценариев будущего, каждый из которых показывает разные макроэкономические и связанные с развитием пандемии результаты, поскольку именно она определяет стратегию на ближайшие годы. Компаниям следует принять неопределенность как часть своей операционной модели, ведь гибкость и способность быстро адаптироваться будут гораздо важнее, чем выполнение «пятилетки за три года». Как и во время предыдущих спадов, лишь те полупроводниковые компании, которые действуют быстро, смогут стать сильнее. Умеренное сокращение капитальных вложений, акцент на инновации в сфере НИОКР и планово‑ориентированный подход к слияниям и поглощениям могут помочь им добиться роста и создать передовые технологии, которые будут пользоваться большим спросом после того, как экономика начнет восстанавливаться. Создание базовой линии важно при принятии стратегических решений, и это имеет еще большее значение в такие неопределенные времена. Это несложный процесс, и большинство компаний, выпускающих полупроводники, уже имеют под рукой большую часть необходимой информации. Первый шаг предусматривает определение основной бизнес-модели и основных сильных сторон с точки зрения возможностей и мощности. Затем компании изучают свое текущее финансовое положение в свете кризиса COVID‑19. Помимо оценки своих текущих денежных потоков и ликвидности — упражнение, которое могло быть выполнено в начале кризиса, — полупроводниковые компании могут также исследовать влияние различных интервенций, в том числе на рентабельность EBIT (прибыль до уплаты процентов и налогов). После этого внутреннего исследования компании, производящие полупроводники, могут оценить свое положение в отрасли. Какова их текущая рыночная доля и традиционное положение по сравнению с конкурентами? Есть ли у клиентов и конкурентов ка-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
кие-либо представления о компании, которые могут повлиять на будущие перспективы? Как только они ответят на эти вопросы, полупроводниковые компании получат исчерпывающее представление о своих потенциальных сильных сторонах, а также о проблемах, которые могут возникнуть при переходе к следующей норме функционирования. Анализ, приведенный в этой статье, показал, к какому хаосу привела пандемия в полупроводниковой и электронной промышленности в целом. Рост, который мы наблюдали в конце 2021 года, в большей степени связан не с успехами перестройки в индустрии, а с банальным ростом цен на компоненты из-за их дефицита в некоторых сегментах рынка [10], так что вся работа еще впереди. В свою очередь производители конечных продуктов тоже должны изменить свои методы, ведь глобальный дефицит микросхем продлится еще как минимум два года. Пока дефицит спроса и предложения не будет исправлен, доступность компонентов и цены останутся нестабильными. Поскольку цепочки прямых поставок непосредственно от изготовителя полупроводников организовать не всегда возможно, то в 2022 году все изготовители электроники могут стать жертвами поставщиков контрафактных компонентов. Соответственно, OEM-производители, CM и поставщики EMS для опосредованной закупки деталей должны работать с проверенными торговыми площадками электронных компонентов. Авторизированные поставщики поддерживают строгие стандарты проверки поставщиков и процедуры обеспечения качества, чтобы не допустить в свои сети контрафактных компонентов. Учитывая проблемы на горизонте 2022 года, производители должны как можно раньше наладить партнерские отношения с надежными поставщиками, а индустрия полупроводников — восстановиться и заполнить рынки n качественными продуктами.
Литература 1. E‑BOOK Semiconductors: a comprehensive guide: A walkthrough of essential semiconductor materials
11
and devices. Part: The road ahead. www.power-andbeyond.com/semiconductors-a‑comprehensiveguide-d‑42597/ 2. Bauer H., Burkacky O., Kenevan P., Mahindroo A., Patel M. Semiconductor companies that begin revising their long-term strategies now may emerge stronger in the next normal. Сollaborative effort by the Semiconductor Practice. June 18, 2020. www. mckinsey.com/industries/advanced-electronics/ our-insights/how-the-semiconductor-industrycan-emerge-stronger-after-the-covid‑19‑crisis# 3. Bauer H., Burkacky O., Kenevan P., Mahindroo A., Patel M. Coronavirus: Implications for the semiconductor industry. April 14, 2020. www. mckinsey.com/industries/semiconductors/ our-insights/coronavirus-implications-for-thesemiconductor-industry 4. Smit S., Hirt M., Buehler K., Lund S., Greenberg E., Govindarajan A. Safeguarding our lives and our livelihoods: The imperative of our time. March 23, 2020. www.mckinsey.com/business-functions/ strategy-and-corporate-finance/our-insights/ safeguarding-our-lives-and-our-livelihoods-theimperative-of-our-time 5. Rudnicki J., Siegel K., West A. How lots of small M&A deals add up to big value. July 12, 2019. www.mckinsey.com/business-functions/ strategy-and-corporate-finance/our-insights/ repeat-performance-the-continuing-case-forprogrammatic-m‑and-a 6. European Semiconductor Industry Association. Press-Release. Brussels, 30 November 2021. www. eusemiconductors.eu/sites/default/files/ESIA_ WSTS_AutumnForecast2021.pdf 7. McKellop M. Predictions for the 2022 Global Semiconductor Sector. December 9, 2021. www. supplychain247.com/article/predictions_for_ the_2022_global_semiconductor_sector 8. Huang N., Ke W. Automotive IDMs to raise chip prices by 10–20% in 2022. 21 October 2021. www.digitimes.com/news/a20211021PD200. html?mod=2 9. McKellop M. Global chip shortage prompting spike in component counterfeiting. June 30, 2021. www.sourcengine.com/blog/global-chip-shortageprompting-spike-component-counterfeiting 10. Liu J., Ke W. STMicro, Xilinx to raise chip prices in 4Q21. www.digitimes.com/news/a20211001PD201. html?mod=2
www.kite.ru
есть мнение
12
Алгоритм унификации номенклатуры перспективной электронной компонентной базы для космической промышленности Владимир Стешенко, к. т. н. Михаил Краснов, к. т. н. Евгений Лукьянов
В
Представлен алгоритм унификации заявок конструкторов предприятий космической отрасли на разработку электронной компонентной базы (ЭКБ) для применения в перспективных космических аппаратах (КА) различного функционального назначения.
настоящее время автоматические космические аппараты решают широкий спектр задач в интересах общества — обеспечивают навигацию, дистанционное зондирование Земли в различных оптических и радиочастотных диапазонах, а также связь, включая широкополосный доступ к сети Интернет. Учитывая текущий уровень развития информационных технологий, когда пользователь получает комплексные услуги в реальном времени на основании обработки и анализа различных типов данных (спектральный газовый состав атмосферы, прогноз погоды, картографические снимки и т. п.), возникают качественно новые функциональные задачи для космических систем (КС) и требования, предъявляемые к характеристикам перспективных образцов космической техники. Сегодня разработчики радиоэлектронной аппаратуры КА, как правило, руководствуются желанием создать тот или иной электронный компонент, решающий конкретную текущую задачу. Учитывая реальные циклы проведения опытно-конструкторских работ на электронный компонент (3 года), а также циклы создания космической техники (5–7 лет), такой компонент окажется мало востребованным в перспекти-
Рис. 1. Аспекты унификации изделий ЭКБ
ве, поскольку к моменту его создания уже будут разрабатываться новые космические аппараты с актуализированными техническими требованиями. Исходя из этого, необходимо работать на опережение и прогнозировать потребности космической отрасли в ЭКБ с качественно новыми техническими параметрами на основании обозначенных направлений развития КА для перспективных космических миссий. При формировании своих предложений на разработку изделий ЭКБ предприятия-потребители следуют двум основным подходам, которые снижают показатели унификации и новизны создаваемых изделий: • полное копирование существующей на мировом рынке продукции, когда потребности основаны не на конкретных наборах электротехнических параметров изделия ЭКБ, необходимых для обеспечения целевых характеристик КА, а ориентируются на уже существующие аналоги ЭКБ (с уже конкретным набором параметров), которые необходимо заместить pin-to-pin; • отсутствие баланса в количестве предъявляемых требований, характеризуемое недостаточностью или избыточностью предъявляемых требований, что приводит к расширению номенклатуры, необходимой ЭКБ, но не с точки зрения прогрессивного функционального и параметрического многообразия, а за счет формирования потребности в функциональных клонах изделий ЭКБ, имеющих незначительные параметрические отличия. Как результат — возникает необходимость разработки алгоритма унификации номенклатуры изделий ЭКБ ОП, востребованной в космической промышленности, в том числе с учетом формирования задела на потенциальное применение в будущем. Проанализировав текущие перспективы разработки и применения ЭКБ в аппаратуре КА, можно сделать выводы, что в большинстве случаев потребности различных предприятий КП не коррелируются, а применение конкретной продукции требует ее доработки под конечного потребителя. Достаточно часто возникают ситуации, когда ряду предприятий необходима разработка одного и того же функционально схожего изделия, отличающегося лишь напряжениями питания и/или составом микросхемы. Как показывает практика, разработка одного унифицированного аналога в подобных случаях, при естественно небольшой корректировке схемотехники и состава БА со стороны потребителя, позволяет единовременно обеспечить не менее четырех потребностей. В то же время при разработке изделия ЭКБ необходимо учитывать задел на будущее, то есть обеспечение максимальной применимости
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
есть мнение
в перспективных КА. Разработка унифицированных изделий ЭКБ под большое число потребителей хоть и сможет удовлетворить нужды космической отрасли в настоящем, но не решит проблему в целом, поскольку реализованные потребности будут сразу же заменяться новыми, в соответствии с развитием перспективных КА и их характеристиками. В результате при унификации разработки изделий ЭКБ необходимо балансировать между тремя основными векторами (рис. 1). При анализе перспектив применения тех или иных электронных компонентов необходимо понимать, что требования к электротехническим параметрам изделий ЭКБ формируются в первую очередь на основе целевых характеристик КА, в которых планируется их применение. Целевые характеристики спутниковых систем связи прежде всего зависят от характеристик изделий ЭКБ приемо-передающего тракта — усилителей мощности для передачи сигнала, малошумящих усилителей для приема сигнала, устройств коммутации и преобразования сигнала (смесителей, модуляторов, синтезаторов) и т. д. [1, 2]. Для навигационных систем основная целевая характеристика — это точность определения координат, которая в свою очередь, помимо точности эталонного генератора частоты, зависит от стабильности и уровней шумов СВЧ-компонентов [3, 4]. Функционирование систем дистанционного зондирования Земли базируется на совместном использовании средств оптоэлектронной и радиолокационной съемки, что также разделяет и требования, предъявляемые к номенклатуре применяемой ЭКБ. В случае оптоэлектронной съемки основными характеристиками являются разрешающая способность и используемый спектральный диапазон, определяемые применяемыми фотоматрицами и аналогоцифровыми преобразователями. Основным параметром радиолокационной съемки является импульсная мощность выходного излучения, которая зависит от усилительных передающих каскадов и применяемых в них усилителей мощности на базе высокомощных импульсных транзисторов [5, 6]. Оперируя обозначенными направлениями развития космических систем различного назначения, можно синтезировать номенклатуру ключевых потребностей в изделиях ЭКБ, а на базе решения физико-математических уравнений и экспертных оценок определить параметры этих компонентов, обеспечивающих задел, необходимый для применения в перспективных КА. Эти же значения будут являться граничными при решении задачи унификации. Анализ и унификацию потребности в изделиях ЭКБ предлагается производить по алгоритму типа «последовательной многокритериальной оценки». Работа данного алгоритма основывается на методах морфологического
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
13
Таблица 1. Требования, предъявляемые к ЭКБ КП, и их отражение в рамках алгоритма Требования, предъявляемые к разрабатываемым изделиям ЭКБ
Обеспечение групп требований в рамках разработанного алгоритма
Требование к составу изделия
В рамках решения каждой индивидуальной задачи унификации расставляются приоритеты между обеспечением максимально возможного состава изделия, допустимыми конструктивными ограничениями (размер кристалла, необходимое количество выводов и т. п.) и ограничениями на электротехнические параметры (ток потребления, допустимое тепловыделение и т. п.). Для оптимизации процесса унификации в рамках реализации последовательного алгоритма параметры по составу изделия, конструктиву и электротехническим параметрам делятся на подгруппы и распределяются последовательно по степени своей приоритетности (табл. 2).
Требование к конструкции (к конструктивным решениям, применяемым материалам, покрытиям и т. п.) Требованиям к электрическим параметрам и режимам эксплуатации (применения изделий) Требования стандартизации и унификации
Требования к совместимости изделий
На каждом шаге выполнения алгоритма степень унификации новых синтезированных требований по отношению к первоначальным заявкам оценивается посредством количественного показателя межпроектной унификации Kму. В процессе унификации заявок приоритетным является выбор стандартных показателей: - по электрическим параметрам (например, напряжение питания ядра 1,2 или 1,8 В; напряжение питания периферии 3,3 или 5 В); - по составу входных и выходных интерфейсов, а также их параметров (CMOS, TTL, SPI, RS-485 и т. д.); - по конструкции, корпусам и типономиналам (например, ряды номиналов резисторов и конденсаторов по ГОСТ 28884-90).
Требования безопасности Требования к маркировке изделий Требования к транспортабельности изделий
Не подлежат анализу и унификации в рамках алгоритма.
Требования к утилизации Требования к каталогизации
Таблица 2. Описание приоритизации параметров в рамках алгоритма № группы приоритетности Группа 1. Параметры, определяющие функциональность изделий
Группа 2. Параметры, определяющие уровень развития изделия по отношению с аналогами
Подгруппа 2.1.* Статические электрические параметры Подгруппа 2.2.* Динамические электрические параметры Подгруппа 2.3*. Частотные параметры Подгруппа 2.4*. Оптические параметры
Группа 3. Параметры, определяющие функциональный состав изделий Группа 4. Параметры, определяющие качественные характеристики изделий (точностные и шумовые характеристики) Группа 5. Корпуса и массогабаритные характеристики
Пример Количество системных ячеек ПЛИС, спектральный диапазон лазерного диода и т. д. Напряжение питания, ток потребления, входное/выходное напряжение и т. д. Максимальная скорость переключения, задержки переключения, время роста/спада выходного сигнала и т. д. Рабочий частотный диапазон, показатели ослабления, IP3 и т. д. Максимум спектральной чувствительности, размер пикселя, коэффициент преобразования излучения и т. д. Наличие встроенных дополнительных блоков (АЦП, термодатчиков, стабилизаторов и т. д.) КСВН, спектральная плотность шума, точность преобразования, линейность АЦП и т. д. Монтаж: навесной, поверхностный и т.д. Корпуса: Н18.64-1В, МК 4245.240-6.01 и т. д. Габариты: не более L×W×H
Примечание. *Состав подгрупп определяется функциональным составом и назначением унифицируемой группы изделий ЭКБ, поэтому они являются равноприоритетными, то есть унификация проводится параллельно с соблюдением баланса в рамках допустимых значений показателей каждого узла.
анализа, характеризуемых вариативным поиском решения задачи по определенному набору параметров. На практике данные методы осуществляются посредством составления так называемых морфологических карт, которые содержат, с одной стороны, перечень необходимых параметров, отражающих предполагаемый результат, а с другой — варианты решений, на основе которых выбирают наиболее оптимальный. В рамках решения конкретной задачи унификации заявок на разработку ЭКБ требования, предъявляемые к электронным изделиям, будут выполнять роль узловых точек морфологического анализа, а значения этих требований в рамках разных заявок потребителей — варианты характеризации узла. К изделиям ЭКБ, планируемым к применению в составе аппаратуры КА в соответствии со стандартами на разработку технических требований, а также технических условий на интегральные микросхемы и многокристальные модули, должен предъявляться определенный набор требований, на которые необходимо опираться при создании алгоритма, в рамках решения задачи унификации (табл. 1):
Поскольку изделия ЭКБ являются сложными техническими устройствами, для полного и достаточного описания которых может понадобиться более 20 параметров, для решения задачи унификации стандартная морфологическая методология была изменена за счет распределения групп узлов морфологического анализа по приоритетности в рамках решения задачи унификации (табл. 2). В соответствии с приоритетностью параметров (узлов) по нисходящей происходит построение морфологической матрицы (рис. 2). На каждом шаге (ранге) производится унификация параметров [7, 8]. Все вариации значения одного параметра в рамках одной строчки морфологической матрицы можно представить как некоторое множество:
Pi = (pi1, pi2, …, pij, …, piM), (1) где i — номер унифицируемого параметра по порядку приоритетности; j = 1…N — номер заявки, участвующей в унификации; M — общее количество унифицируемых заявок. www.kite.ru
есть мнение
14
№ п.п.
Наименование параметра
№ Заявки на разработку функционально схожих изделий ЭКБ 1
2
…
M
Унифицированная заявка
Количество вариаций одного параметоа (qi)
1
Параметр 1
p11
p12
…
p1M
U1
q1
2
Параметр 2
p21
p22
…
p2M
U2
q2
3
Параметр 3
p31
p32
…
p3M
U3
q3
…
…
…
…
pij
…
…
…
N
Корпус
pN1
pN2
…
pNM
UN
qN
n1
n2
…
nM
Общее количество указанных значений параметров в заявке (nj)
Рис. 2. Структура морфологической матрицы унификации
Решение задачи унификации по одному параметру состоит в определении экстремума целевой функции:
(2)
где с j — коэффициент целевой функции, принимающий значения «0» или «1». С точки зрения задачи унификации производится поиск такой комбинации коэффициентов, при которой только один коэффициент принимает значение «1», остальные «0», а унифицированное значение параметров Ui принимает наилучшее допустимое значение. При невозможности отбора одной лучшей комбинации, то есть когда при переходе на новый ранг невозможно удовлетворить всю потребность только одним унифицированным изделием, возможно появление
более одной ветви унификации (два значения параметра Ui), и последующий процесс происходит параллельно по каждому направлению. На каждом шаге выполняемого алгоритма с учетом значений параметров предыдущего шага вычисляется коэффициент межпроектной унификации (3), набор полученных к конкретному шагу унифицированных значений параметров проверяется на возможность реализации в рамках физических или конструкционных ограничений:
(3)
где М — общее количество унифицируемых заявок; N — общее количество параметров, рассматриваемых при унификации (шаг
унификации); nj — количество параметров, указанных в j‑й заявке на шаге N; nmax — количество индивидуальных параметров, унифицируемых на шаге N;
общее количество вариаций параметров, указанных в группе из М унифицированных изделий; qi — количество вариаций i‑го параметра. В результате оценки значения Ky, близкие к 0%, свидетельствуют об отсутствии унификации, в то время как близкие к 100% свидетельствуют о высокой степени унификации. Положительным фактором применения такого алгоритма является его применимость к заявкам не только на сложные функциональные изделия, но и на пассивные и полупроводниковые элементы. В первом случае унификация происходит по принципу параметрической унификации, когда на базе нескольких заявок формируется одна или несколько унифицированных (меньше, чем было изначально) на разработку конкретных изделий. Во втором случае унификация будет происходить по принципу расширения ряда типономиналов, то есть унифицированная заявка будет описывать не одно изделие, а целую линейку, с учетом ряда всех необходимых комбинаций параметров. Для реализации в алгоритме учета перспективных значений в морфологическую матрицу (рис. 2) добавляется дополнительный столбец М+1, после чего выполняются описанные ранее действия по анализу матрицы. Для получившегося набора параметров проводится оценка на физическую и конструкторскую реализуемость. При появлении ограничений унифицированная группа
Рис. 3. Алгоритм унификации изделий ЭКБ по типу «последовательной многокритериальной оценки»
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
есть мнение
Литература 1. Гриценко А. А. Национальная информационная спутниковая система (НИСС) // Connect. 2018. № 1, 2. 2. Анпилогов В. Р. Эффективность низкоорбитальных систем спутниковой связи на основе малых космических аппаратов // Технологии и средства связи. 2015. № 4. 3. Синякин А. К., Кошелев А. В., Матуско В. Н. Перспективы применения квантовых стандартов частоты в системах GPS-ГЛОНАСС // Интерэкспо Гео-Сибирь. 2009. Т. 5. № 2. 4. Аминев Д. А., Свиридов А. С., Увайсов С. У. Варианты реализации входного тракта спутникового навигационного приемника // Надежность и качество сложных систем. 2013. № 3. 5. Гарбук С. В., Гершензон В. Е. Космические системы дистанционного зондирования Земли // М.: Издательство «А и Б», 1997. 6. Верба В. С. Радиолокационные системы землеобзора космического базирования. М: Радиотехника, 2010. 7. Aguilar A., Butler P., Collins J., Guerster M., Kristinsson B., McKeen P., Cahoy K., Crawley E. F. Tradespace Exploration of the Next Generation Communication Satellites. AIAA Scitech 2019 Forum. January 2019. 8. Kofanov N., Sotnikova S. Y., Skachko M. A. Development of Unified Morphological Models for he Research of Different Physical Processes in Electronic Systems. International Conference Quality Management, Transport and Information Security, Information Technologies (IT&QM&IS), 2019.
новости
силовая электроника
Разъемы для шин OBDII и OBD2 от OUPIIN
Компания OUPIIN разработала серию разъемов для передачи сигнала протоколов в автомобильном оборудовании OBDII и OBD2. Они имеют два ряда по восемь контактов. Коннекторы могут быть установлены на провод и плату. Также доступны заказные оригинальные кабельные сборки с необходимым форматом кабеля и дополнительными опциями защиты и фиксации. Кабели и сборки выпускаются на фабрике, аттестованной по IATF 16949. Особенности шин: • варианты крепления на провод и плату; • производство готовых сборок в соответствии с IATF 16949; • шаг контактов: 4 мм. Основные сферы применения OBDII и OBD2: автомобильные системы и диагностическое оборудование для автомобилей. www.macrogroup.ru
Реклама
параметров проходит экспертную оценку с целью оптимизации до значений, возможных к реализации и обеспечивающих высокий коэффициент межпроектной унификации (более 50%). Общая схема описанного алгоритма представлена на рис. 3. Использование описанного алгоритма при анализе потребности предприятий в период 2016–2022 гг. привело к сокращению общей номенклатуры электронных изделий, востребованной в космической промышленности, и позволило синтезировать ключевую потребительскую номенклатуру изделий ЭКБ, учитывающую требования более чем 20 предприятий отрасли, при этом удалось: • исключить дублирование заявок на разработку чрезвычайно близких аналогов ЭКБ ОП, поступивших от различных предприятий; • в большинстве текущих заявок на разработку ЭКБ под конкретных потребителей учесть перспективные требования к ЭКБ для будущих КА; • добиться 100%-ного применения разрабатываемых изделий ЭКБ в соответствии с направлениями развития перспективных космических миссий. Результаты проведенного анализа уже нашли практическое применение при разработке технических требований на постановку Минпромторгом России опытно-конструкторских работ по созданию отечественной высоконадежной ЭКБ в интересах космической промышленности. n
15
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
датчики
16
Серия высоконадежных интегральных датчиков температуры Владимир Гущин Игорь Корепанов Илья Слепченков mail@dcsouyz.ru
О
сновные характеристики представлены в таблице 1. Микросхемы 5306НТ015 (рис. 1) являются функциональным аналогом DS18B20 компании Maxim Integrated. График распределения максимальной погрешности измерения температуры в диапазоне –10…+60 °C для выборки микросхем представлен на рис. 2. Компания продолжает расширять номенклатуру датчиков температуры. Новая серия микросхем включает три цифровых датчика 5400ТР125-010, 013, 014 с различными интерфейсами, аналоговый датчик температуры 5306НТ025 и температурный компаратор (термостат) 5400ТР125-015. Основные характеристики представлены в таблице 2. Микросхемы 5400ТР125-010, 013, 014 предназначены для преобразования температуры в 16‑разрядный цифровой код (где пять старших разрядов — знаковые). Погрешность определения температуры: • в диапазоне –10…+60 °C не более ±2 °C; • в диапазоне –60…+125 °C не более ±3 °C. В каждой микросхеме реализован режим работы с низким энергопотреблением (режим Shutdown), который включается автоматически при отсутствии обращения и активного преобразования температуры, ток потребления снижается до значения не более 0,2 мА. Микросхема 5400ТР125-010 (1‑Wire) содержит уникальный 64‑разрядный серийный номер, благодаря адресации возможно применение нескольких датчиков на одной ли-
Рис. 1. Внешний вид датчика температуры 5306НТ015
Компания «Дизайн Центр «Союз» серийно изготавливает интегральные цифровые датчики температуры 5306НТ015В(С) с 1‑Wire и 5306НТ015Е(Н) с SPI-интерфейсом. Датчики предназначены для преобразования значения температуры в цифровой код. Микросхемы внесены в перечень ЭКБ.
Таблица 1. Электрические характеристики датчика 5306НТ015 Наименование микросхемы
Номинальное напряжение питания, В
5306НТ015В 5306НТ015С 5306НТ015Е 5306НТ015Н
3,3 5 3,3 5
Ток потребления, мА
Время преобразования, с
Интерфейс
1,2
2
1-Wire
1,2
2
SPI
Время преобразования, мс
Интерфейс
Режим преобразования
Режим ожидания (Shutdown)
5 5
Таблица 2. Электрические характеристики новых микросхем Наименование микросхемы
Номинальное напряжение питания, В
Ток потребления, мА Режим преобразования
Режим ожидания (Shutdown)
5400ТР125-010
3,3/5
2,5
0,1
5400ТР125-013
3,3/5
3
0,2
5400ТР125-014
3,3/5
3
0,2
5400ТР125-015
3,3/5
3
5306НТ025
5 ±10%
5
нии. Микросхемы являются функциональным аналогом DS18B20 (Maxim Integrated). Обращение к микросхеме 5400ТР125-013 (SPI) происходит по низкому уровню сиг-
1-Wire 750
SPI
–
800
тип выхода — открытый сток
–
–
аналоговый выход
I2C
нала CS, что позволяет объединить выводы CLK, MISO, MOSI для всех датчиков, а вывод CS сделать независимым. Микросхема 5400ТР125-014 (I2C) содержит два вывода для
Рис. 2. График распределения максимального значения погрешности определения температуры (диапазон –10…+65 °C)
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
5400ТР125-013, 014, 015 и 5306НТ025 в перечень ЭКБ — IV квартал 2022 года. Компания бесплатно предоставляет опытные образцы микросхем для исследований: • 5306НТ015 доступны для заказа; • 5400ТР125-010 и 5306НТ025 — февраль 2022 года; • 5400ТР125-013, 014, 015 — II квартал 2022 года. Все представленные датчики имеют высокую стойкость к СВВФ, включая факторы космического пространства: стойкость к 7.И — не менее 4Ус; стойкость к ТЗЧ — не менее 60 МэВ·см2/мг. Наработка до отказа — не менее 132 000 ч. Все датчики выполнены в 6‑выводном компактном металлокерамическом корпусе 5221.6-1 размером 4,65,25 мм. n
Реклама
определения адреса («лог. 0», «лог. 1», обрыв), возможно применение до восьми микросхем на одной шине. Микросхема 5400ТР125-015 (температурный компаратор) предназначена для контроля температуры окружающей среды. Пороги срабатывания настраиваются через 1‑Wire интерфейс. В случае выхода значения температуры за установленные нормы микросхема выдает сигнал ALARM. Тип выхода компаратора — открытый сток. Микросхема 5306НТ025 (аналоговый температурный датчик) предназначена для выдачи напряжения, пропорционального абсолютному значению температуры окружающей среды. Диапазон выходного напряжения 0,2–4,8 В. Включение микросхемы 5400ТР125-010 (1‑Wire) в перечень ЭКБ планируется во втором квартале 2022 года. Включение микросхем
17
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
18
датчики
Как выбрать и спроектировать оптимальную систему измерения температуры на основе резистивных термодатчиков Джелени Родригез (Jellenie Rodriguez) Мэри МакКарти (Mary McCarthy) Перевод: Евгений Ивашенко eugeny.ivashenko@eltech.msk.ru
Актуальность измерения температуры RTD-датчиками Измерение температуры играет важную роль во многих областях применения, таких как промышленная автоматика, приборостроение, медицина или обслуживание оборудования в соответствии с его техническим состоянием. При наблюдении за условиями окружающей среды и при коррекции температурного дрейфа в системе крайне важна высокая точность и прецизионность измерений. Есть несколько типов температурных датчиков, которые можно использовать для этих целей: термопары, резистивные датчики температуры (RTD), полупроводниковые датчики температуры, термисторы. Тип и конструкцию датчика выбирают исходя из измеряемого диапазона температур и необходимой точности прибора. Так, для температурного диапазона –200…+850 °C оптимальны RTD, обеспечивающие высокую точность и стабильность измерений в данных пределах. Существует несколько проблем, с которыми при измерении температуры постоянно сталкиваются специалисты: • Выбор значений тока и напряжения. RTD представляет собой пассивное устройство, которое само не генерирует электрический ток. Для измерения сопротивления используется ток или напряжение возбуждения — ток небольшой силы пропускается через детектор и вызывает на нем падение напряжения. Как выбрать оптимальное значение тока/напряжения? • Какая схема подключения — двух-, трехили четырехпроводная — станет наилучшей для конкретного случая?
Статья посвящена проблемам проектирования систем измерения температуры на основе резистивных датчиков температуры (resistance temperature detector, RTD). Рассматривается выбор RTD, а также преимущества и недостатки различных конфигураций. Помимо этого, в деталях описана оптимизация и оценка системы на основе RTD.
• Какая обработка требуется для сигнала RTD? • Как подобрать указанные выше переменные, чтобы соблюсти технические требования для аналого-цифрового преобразователя (АЦП) и прочих элементов цепи? • Как объединить несколько датчиков в одной системе? Могут ли некоторые блоки совместно использоваться несколькими датчиками? И как это повлияет на общие показатели работы системы? • Какова ожидаемая ошибка измерения для данной схемы?
Руководство по выбору RTD Обзор RTD У RTD существует четко определенная зависимость между сопротивлением датчика и температурой. Наиболее широко используются платиновые датчики Pt100 и Pt1000, подключаемые по двух-, трех- и четырехпроводной конфигурации (табл. 1). Также RTD изготавливают из никеля и меди. Самый распространенный тип RTD — Pt100, выпускается в двух вариантах: проволочном и тонкопленочном. Каждый вариант выполняется с учетом нескольких стандартных кривых и допусков. Чаще всего используются кривые согласно стандарту DIN (Deutsches Institutfür Normung, Немецкий институт по стандартизации). Стандартная кривая описывает зависимость
сопротивления от температуры для платинового датчика сопротивлением 100 Ом, стандартные допуски и диапазон рабочих температур. Все эти параметры определяют точность RTD начиная с базового сопротивления 100 Ом при температуре 0 °C. Стандарт DIN предусматривает несколько классов допусков для RTD, которые приведены в таблице 2 и применимы к платиновым RTD типа Pt1000, используемым в системах с низким энергопотреблением. При выборе RTD-датчика нужно учитывать как особенности самого датчика, так и его точность. Диапазон температуры различается для разных материалов датчика, а точность, указанная для калибровочной температуры (обычно 0 °C), зависит от ее изменения. Таким образом, важно определить измеряемый температурный диапазон и учитывать, что любые значения ниже или выше калибровочной температуры будут характеризоваться более широкими допусками и меньшей точностью. Датчики RTD подразделяются в зависимости от номинального сопротивления при 0 °C. Таблица 1. Распространенные типы RTD Тип RTD (число обозначает сопротивление при 0 °C)
Материал
Температурный диапазон, °C
Pt100, Pt1000 Pt200, Pt500 Cu10, Cu100 Ni120
Платина Платина Медь Никель
–200…+850 –200…+850 –100…+260 –80…+260
Таблица 2. Точность RTD — классы А, В и 1/3 DIN Класс DIN
Допуск при 0 °C, °C
Допуск при +50 °C, °C
Pt100RTD (тонкопленочный)
Тип датчика
Класс B
±0,3
±0,55
±0,8
Pt100RTD (тонкопленочный)
Класс A
±0,15
±0,25
±0,35
1/3 класса B
±0,1
±0,18
±0,27
Pt100RTD (проволочный/тонкопленочный)
Допуск при +100 °C, °C
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
19
Датчик Pt100 имеет температурный коэффициент порядка 0,385 Ом/°C, для датчика Pt1000 температурный коэффициент в 10 раз выше. Многие проектировщики используют данные коэффициенты, чтобы приблизительно рассчитать температуру по сопротивлению, однако уравнения Каллендара — Ван Дюзена являются более точным способом перевода из одних единиц в другие. Уравнение для температуры t ≤ 0 °C:
RRTD(t) = R0[1+At+Bt 2+C(t–100)t 3]. (1) Уравнение для температуры t ≥ 0 °C:
RRTD(t) = R0(1+At+Bt 2), (2) где t — температура RTD, °C; RRTD(t) — сопротивление RTD при температуре t; R 0 — сопротивление RTD при 0 °C (в нашем случае R0 = 100 Ом); A = 3,908310 –3; B = –5,77510–7; C = –4,18310–12. Схемы подключения RTD Еще один параметр, который нужно учитывать при выборе RTD, — схема его подключения, которая влияет на точность системы. Существует три различные конфигурации RTD (рис. 1), причем каждая имеет определенные достоинства и недостатки и требует своего подхода к снижению погрешности измерения. Двухпроводная конфигурация является самой простой, но и наименее точной из-за того, что сопротивления подводящих проводов и их колебания под влиянием температуры вносят существенную ошибку в измерения. Поэтому такая схема подключения используется только при очень коротких подводящих проводах или высоком сопротивлении датчика (например, Pt1000) — оба этих условия минимизируют влияние сопротивления провода на точность измерения. Наиболее широкое распространение получила трехпроводная схема подключения. Ее преимущество заключается в использовании трех выводов, что важно для схем, где нужно минимизировать размер разъема (требуется
Рис. 1. Схемы подключения RTD
три контакта вместо четырех, как у четырехпроводного RTD). Трехпроводная конфигурация имеет гораздо большую точность по сравнению с двухпроводной. При подключении по трехпроводной схеме погрешность из-за сопротивления провода можно скомпенсировать за счет различных методов калибровки, которые будут рассмотрены далее. Четырехпроводная схема подключения самая дорогая, но и самая точная. Такая конфигурация полностью исключает ошибки, связанные с сопротивлением подводящих проводов и влиянием изменения температуры. Соответственно, четырехпроводная схема демонстрирует наилучшее качество измерения.
Конфигурация электрической схемы RTD Для того чтобы обеспечить максимально точные измерения с помощью RTD-датчиков, требуется поддерживать точность на каждом этапе обработки сигнала: при предварительной обработке, аналого-цифровом преобразовании, линеаризации и калибровке. Типовая схема измерительной системы на основе RTD состоит из нескольких ступеней, которые изображены на рис. 2. Несмотря на то что сигнальная цепочка выглядит достаточно простой, в данной схеме есть ряд нетривиальных моментов, и при проектировании системы
необходимо учитывать выбор сложных компонентов, схему соединения, анализ ошибок и другие проблемы обработки аналогового сигнала, которые оказывают влияние на общий размер печатной платы и на стоимость материалов ввиду увеличения числа функциональных блоков. Но есть и положительный момент — компания Analog Devices предлагает целую линейку интегрированных системных решений, которые позволяют упростить разрабатываемые схемы, уменьшить размер печатной платы, сократить время выхода на рынок и снизить общую стоимость измерительных систем на основе RTD. Для трех конфигураций RTD применяются различные схемы подсоединения датчика к АЦП и другим внешним компонентам, включая источник тока возбуждения и гибко настраиваемый мультиплексор. В данном разделе подробно описаны и проанализированы схемные решения для каждой конфигурации RTD. ΣΔ-АЦП Использование ΣΔ-АЦП дает множество преимуществ при проектировании систем на основе RTD. Во‑первых, благодаря избыточной частоте дискретизации входного аналогового сигнала минимизируется потребность во внешней фильтрации — хватит простого RC-фильтра. Также SΔ-АЦП обеспечивают широкие возможности при выборе типа
Рис. 2. Типовая схема для измерения сигнала RTD
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
20
датчики
фильтра и скорости обновления выходных данных. Встроенный цифровой фильтр позволяет устранять любые помехи от основной сети, к которой подключена схема. У 24‑разрядных АЦП, таких как AD7124-4/AD71248, максимальная разрешающая способность (peak-to-peak) достигает 21,7 бит. К их преимуществам также относятся: • широкий диапазон синфазных напряжений для аналоговых входных сигналов; • широкий диапазон синфазных напряжений для опорных входных сигналов; • возможность поддержки логометрических конфигураций; • буферизация опорного и аналогового входных сигналов. Некоторые SΔ-АЦП с высокой степенью интеграции содержат: • усилитель с программируемым коэффициентом усиления (programmable gain amplifier, PGA); • источник тока возбуждения; • буферы опорного/аналогового входных сигналов; • функции калибровки. Все эти составляющие значительно упрощают процесс проектирования RTD, сокращают материальные затраты, общую стоимость системы, требуемое место на печатной плате и время разработки. В статье в качестве преобразователя используются микросхемы AD7124-4/ AD7124-8 — прецизионные АЦП с низким шумом и низким энергопотреблением, со встроенными PGA-усилителем, источником тока и буферами аналогового и опорного входных сигналов.
Рис. 3. Логометрическая схема измерения для четырехпроводного RTD
Логометрические измерения Логометрическая конфигурация представляет собой эффективное и экономичное решение для систем на основе резистивных датчиков, таких как RTD или термисторы. В рамках логометрического подхода предполагается, что опорное напряжение и напряжение, подаваемое на датчик, должны иметь единый источник. В таком случае сам источник возбуждения не обязан быть точным. На рис. 3 представлен пример логометрической конфигурации для четырехпроводного
Рис. 4. Трехпроводной RTD с объединенными выводами IOUT/AIN
RTD. Постоянный ток возбуждения поступает на RTD и на прецизионный резистор RREF, при этом в качестве опорного используется напряжение, которое возникает в резисторе RREF. При данной конфигурации изменение тока возбуждения никак не влияет на точность измерения. Таким образом, логометрический подход предусматривает применение менее стабильного тока возбуждения с большим содержанием шума. Предпочтительнее использовать источник тока, а не напряжение возбуждения, поскольку ток менее подвержен воздействию шума. Основные факторы, которые нужно учесть при выборе номинала источника возбуждения, обсудим в следующем разделе статьи. Объединенные выводы IOUT/AIN Часто SΔ-АЦП используются совместно с интегрированными мультиплексорами и источниками тока возбуждения, чтобы обеспечить многоканальное измерение параметров и удобное подведение тока к каждому датчику. В таких АЦП, как AD7124, применяют один вывод одновременно как выход тока возбуждения и как аналоговый вход (рис. 4). В результате объединения выводов IOUT и AIN на один трехпроводной RTD-датчик приходится всего два вывода, что увеличивает количество каналов. Однако в данной конфигурации высокий номинал резистора R при фильтрации низкочастотных и электромагнитных помех может привести к увеличению погрешности сопротивления датчика, поскольку R последовательно соединен с RTD — это означает, что для использования подходит ограниченный диапазон сопротивлений R. Поэтому во избежание возможных ошибок измерения обычно рекомендуется иметь отдельный вывод для каждого источника тока.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
21
Рис. 5. Конфигурация аналогового входа измерительной системы с одним и несколькими четырехпроводными RTD
Схема подключения четырехпроводного RTD Четырехпроводная конфигурация RTD обеспечивает наивысшую точность измерений. Единственная проблема, с которой сталкиваются проектировщики систем, заключается в высокой стоимости самого датчика и большом размере четырехконтактного разъема по сравнению с двумя другими конфигурациями. В данной схеме подключения ошибки, связанные с сопротивлением подводящих проводов, исключаются за счет использования обратных проводов. В четырехпроводной конфигурации применяется метод Кельвина, когда два провода предназначены для подачи тока возбуждения, а два других служат для снятия напряжения на самом RTD-датчике. Как показано на рис. 5, при такой схеме подключения требуется только один источник тока возбуждения IOUT. При этом у АЦП три аналоговых вывода: один для тока возбуждения, IOUT, и два выступают в качестве полностью дифференциального входного канала (AINP и AINM), который используется для измерения напряжения на датчике. Когда схема содержит несколько четырехпроводных RTD, достаточно одного
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
источника возбуждения, ток от которого подводится к каждому датчику. Если расположить опорный резистор в цепи нижнего плеча RTD, то одного резистора хватит для обеспечения всех измерений — то есть опорный резистор будет общим для всех датчиков. Обратите внимание, что если опорный вход АЦП имеет широкий диапазон синфазного сигнала, то опорный резистор можно добавить как в цепь нижнего, так и в цепь верхнего плеча. Для схем с одним четырехпроводным RTD подойдет любое из двух положений резистора. Однако если в системе имеется несколько четырехпроводных датчиков, целесообразнее разместить опорный резистор в цепи нижнего плеча, поскольку тогда он может совместно использоваться всеми RTD. Также следует учесть, что у некоторых АЦП есть буферы опорного сигнала. Они могут требовать определенного запаса по напряжению, поэтому, если буфер включен, в схему нужно добавить резистор, обеспечивающий данный запас. Включение буфера позволяет устанавливать на входе опорного сигнала более надежные фильтры без риска спровоцировать ошибки, в частности ошибку усиления АЦП.
Схема подключения двухпроводного RTD Двухпроводная конфигурация RTD, показанная на рис. 6, представляет собой самый простой вариант подключения. В нем также требуется только один источник тока возбуждения, а у АЦП имеется три аналоговых вывода: один для тока возбуждения, IOUT, и два в качестве полностью дифференциального входного канала (AINP и AINM), которые используются для измерения напряжения на датчике. Когда схема содержит несколько двухпроводных RTD, достаточно одного источника возбуждения, ток от которого подводится к каждому датчику. Если расположить опорный резистор в цепи нижнего плеча RTD, как в случае с четырехпроводной конфигурацией, то одного резистора хватит для обеспечения всех измерений — то есть опорный резистор будет общим для всех датчиков. Двухпроводная конфигурация является наименее точной из всех трех схем подключения, так как сопротивление в точке измерения включает сопротивление датчика и сопротивления подводящих проводов RL1 и RL2, что приводит к завышению измеренных значений напряжения на АЦП. В системах с дистанционными датчиками и очень большой длиной www.kite.ru
22
датчики
Рис. 6. Конфигурация аналогового входа измерительной системы с одним и несколькими двухпроводными RTD
Рис. 7. Конфигурация аналогового входа измерительной системы с одним и несколькими трехпроводными RTD
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
23
Рис. 8. Конфигуратор RTD
проводов ошибки могут быть весьма существенны. Например, эквивалентное сопротивление медного провода 24 AWG длиной 7,62 м составит 0,08527,62 ≈ 1,3 Ом. Такое сопротивление проводов приводит к ошибке измерения примерно 1,3/0,385 ≈ 3,38 °C, при этом сопротивление проводов само зависит от температуры, что еще больше увеличивает погрешность. Схема подключения трехпроводного RTD Существенно сократить ошибки, присущие двухпроводной конфигурации RTD, можно за счет применения трехпроводной схемы подключения датчика. В рамках данной статьи для устранения погрешностей, вызванных сопротивлением подводящих проводов RL1 и RL2, используется второй источник тока возбуждения (показан на рис. 7). Таким образом, в трехпроводной конфигурации RTD у АЦП четыре аналоговых вывода: два для тока возбуждения (IOUT0 и IOUT1) и два в качестве полностью дифференциального входного канала (AINP и AINM), предназначенного для измерения напряжения на датчике. Существует два способа подключения трехпроводного RTD. Первый способ предполагает ввод опорного резистора в цепь верхнего плеча так, чтобы ток возбуждения от первого источника IOUT0 проходил через RREF, RL1 и затем поступал в RTD, а ток от второго источника проходил через про-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
вод RL2 и формировал напряжение, которое скомпенсирует падение напряжения на проводе RL1. Так, хорошо согласованные источники тока полностью устраняют ошибку, вызванную сопротивлением подводящих проводов. В случае несогласованности источников подобная конфигурация минимизирует ее влияние на результат измерений. Поскольку один и тот же ток проходит через RTD и RREF, то любая несогласованность двух источников тока влияет только на расчет сопротивлений подводящих проводов. Данная конфигурация применяется для схем с одним RTD. При использовании нескольких трехпроводных RTD рекомендуется располагать опорный резистор в цепи нижнего плеча (способ 2), так как это позволяет снизить общую стоимость за счет использования одного опорного резистора для всех датчиков. Однако в такой конфигурации через каждый датчик проходит ток только от одного источника, а через опорный резистор проходит ток от обоих. Получается, что любая несогласованность источников возбуждения может повлиять на значение опорного напряжения и на компенсацию сопротивления соединительных проводов. При рассогласованных источниках тока такая конфигурация будет иметь большую погрешность измерения, чем первая. Существует два метода калибровки, которые позволяют справиться с рассогласованием источников тока и связанным с этим дрейфом, повышая таким образом точность второй конфигурации. Первый из них заклю-
чается в стабилизации прерыванием (изменении полярности подключения), снятии измерений для каждой фазы и затем вычислении их среднего значения. Второй метод предполагает непосредственное измерение значений токов возбуждения и использование рассчитанного рассогласования для компенсации в микроконтроллере. Более подробно методы калибровки рассматриваются в обзоре измерительной системы CN‑0383 [3].
Оптимизация системы на основе RTD С точки зрения проектирования существует ряд проблем, связанных с разработкой и оптимизацией систем на основе RTD. Первая проблема, уже описанная выше, заключается в выборе датчиков и схемы их подключения. Еще одна сложность связана с конфигурацией измерительной системы и предусматривает настройку АЦП, выбор источника тока, коэффициента усиления и номиналов внешних компонентов с учетом обеспечения оптимального функционирования системы и соблюдения технических требований для АЦП. Наконец, самая главная проблема заключается в достижении заданной точности измерений и определении источников ошибок, из которых складывается общая погрешность системы. Новый конфигуратор и калькулятор суммарной погрешности RTD [4] представляет собой прикладное решение для проектироwww.kite.ru
24
датчики
вания и оптимизации измерительных систем на основе RTD на всех этапах от идеи до разработки промышленного образца. Данный инструмент (рис. 8): • позволяет выбрать наилучшую конфигурацию системы и схему подключения датчиков; • помогает определить различные источники ошибок и оптимизировать схему. Данный инструмент разработан для систем, использующих AD7124-4/AD7124-8. Он предоставляет пользователям возможность настраивать ток возбуждения, коэффициент усиления и выбирать внешние компоненты. В ПО встроена функция индикации параметров, выходящих за рамки спецификации, поэтому можно быть уверенным, что устройство удовлетворяет всем техническим требованиям АЦП.
Выбор значений тока возбуждения, коэффициента усиления и внешних компонентов В идеале мы стремимся выбрать как можно большие значения тока, чтобы получить более высокое выходное напряжение и максимизировать входной диапазон АЦП. Однако датчик обладает сопротивлением, и необходимо проконтролировать, чтобы рассеиваемая мощность и эффект самонагрева, обусловленный большими значениями тока, не повлияли на результаты измерений. Проектировщик системы может выбрать большое значение тока возбуждения, но для минимизации самонагрева в перерывах между измерениями ток придется отключать, так что нужно еще учесть необходимость временной синхронизации компонентов. В качестве альтернативы можно выбрать меньшее значение тока, которое минимизирует самонагрев и решит проблему синхронизации, но здесь важно оценить, как это повлияет на показатели работы системы. Все эти сценарии можно протестировать с помощью конфигуратора и калькулятора суммарной погрешности RTD [4]. Данный инструмент позволяет пользователю найти баланс между значением тока возбуждения и коэффициентом усиления, выбрать номинал внешних компонентов для оптимизации напряжения аналогового входа в соответствии с настройкой коэффициента усиления и скорости АЦП, благодаря чему можно добиться увеличения разрешения и производительности системы при более низком уровне шума и меньшей ошибке смещения. Для того чтобы более подробно ознакомиться с частотной характеристикой фильтра или временными показателями преобразования, можно воспользоваться онлайн-инструментом Virtual Eval [5]. Как на измерительном, так и на опорных входах SΔ-АЦП осуществляется непрерывная дискретизация сигнала за счет входного интерфейса переключаемого конденсато-
ра. Для рассматриваемых систем на основе RTD сигнал опорного входа также зависит от внешнего опорного резистора. Для борьбы с наложением спектров (алайзингом) на аналоговом входе SΔ-АЦП рекомендуется использовать внешний RC-фильтр. Для подавления электромагнитных помех проектировщик системы может установить высокие значения R и C как для аналогового, так и для опорного входа. Однако большие значения RC могут привести к ошибкам усиления, поскольку входной интерфейс схемы не будет успевать установиться между моментами дискретизации. Буферизация аналогового и опорного входных сигналов предотвращает возникновение этих ошибок и снимает ограничения с допустимых значений R и C. В микросхемах AD7124-4/AD7124-8 при использовании внутреннего коэффициента усиления больше единицы буферы аналогового входа включаются автоматически, и так как PGA-усилитель располагается перед входным буфером и имеет выход rail-to-rail, то и аналоговый вход будет того же типа. При использовании буферов опорного сигнала или АЦП с единичным коэффициентом усиления и включенными буферами аналогового входа важно убедиться, что в схеме обеспечивается требуемый запас по напряжению. Датчик Pt100 генерирует сигнал низкого уровня, порядка сотен милливольт. В данном случае оптимален АЦП с широким динамическим диапазоном. Как вариант можно использовать дополнительный каскад для усиления сигнала перед его подачей в АЦП. Микросхемы AD7124-4 и AD7124-8 поддерживают коэффициент усиления 1–128, позволяя оптимизировать схему для широкого диапазона тока возбуждения. Многообразие доступных вариантов усиления помогает найти баланс между значениями тока возбуждения, коэффициентом усиления, внешними компонентами и рабочими характеристиками системы. Конфигуратор RTD показывает, можно ли использовать те или иные значения тока с выбранным RTDдатчиком, а также предлагает подходящие номиналы для прецизионного опорного резистора и опорного резистора, обеспечивающего запас по напряжению. Помимо этого, данный инструмент контролирует соблюдение технических требований АЦП и отображает возможные варианты коэффициента усиления, поддерживаемые конкретной конфигурацией. Так, в AD7124 источник тока должен удовлетворять условию совместимости по выходу (output compliance), то есть вывод источника должен иметь некоторый запас по сравнению с напряжением AVDD. Данный инструмент позволяет контролировать выполнение этого требования. Таким образом, конфигуратор RTD [4] помогает разработчикам спроектировать систему, удовлетворяющую эксплуатационным ограничениям АЦП и RTD-датчиков.
Точность номиналов внешних компонентов, таких как опорный резистор, и их вклад в системную ошибку будут рассмотрены далее. Варианты фильтрации (подавление частот 50 и 60 Гц с помощью аналоговых и цифровых фильтров) Как уже обсуждалось выше, для SΔ-преобразователей рекомендуется использовать антиалайзинговый фильтр. Так как встроенный фильтр АЦП является цифровым, его частотная характеристика отражается вблизи частоты дискретизации. Антиалайзинговая фильтрация необходима для ослабления помех на частоте модулятора, а также на любых кратных ей частотах. Благодаря передискретизации аналогового сигнала в SΔ-преобразователях конструкция антиалайзингового фильтра значительно упрощается и достаточно простого однополюсного RC-фильтра. В ходе промышленной эксплуатации готовой системы борьба с шумом и помехами от окружающей среды может представлять достаточно серьезную проблему, особенно в таких областях применения, как промышленная автоматика, приборостроение, управление технологическими процессами и управление энергоснабжением, где одновременно требуются устойчивость к шуму и низкий уровень шумового воздействия на соседние компоненты. Шум, импульсные и прочие помехи могут влиять на точность и разрешающую способность системы. Также помехи могут возникать, когда система получает питание от сети. В Европе в сети возникают гармоники, кратные 50 Гц, в США — кратные 60 Гц. Поэтому при разработке систем на основе RTD следует учесть необходимость установки фильтрующего контура для подавления частот 50/60 Гц, причем многие проектировщики стремятся разработать универсальные системы с одновременным подавлением помех на обеих частотах. Большинство АЦП с узкой полосой пропускания, включая AD7124-4 и AD7124-8, предлагает различные варианты цифровой фильтрации, которые можно запрограммировать на подавление частот 50/60 Гц. Выбранный вариант фильтрации оказывает влияние на скорость обновления выходных данных, время установления и подавление указанных частот. Если используется несколько каналов, то после каждого переключения фильтру требуется определенное время, чтобы установиться и выполнить преобразование, — таким образом, выбор фильтра с более продолжительным временем установления (например, sinc4 или sinc3) снизит общую пропускную способность системы. В таком случае целесообразно использовать выходной постфильтр или КИХ-фильтр с меньшим временем установления, чтобы обеспечить одновременное подавление частот 50/60 Гц и увеличить пропускную способность.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
25
Рис. 9. Калькулятор источников ошибок RTD
Оценка энергопотребления Потребление электроэнергии и баланс мощности системы в значительной степени зависят от области ее применения. Микросхемы AD7124-4/AD7124-8 имеют три режима энергопотребления, которые позволяют найти компромисс между точностью, скоростью и энергопотреблением системы. Для портативных и удаленно устанавливаемых устройств необходимо применять компоненты и конфигурации с низким энергопотреблением. В таких случаях можно использовать режимы низкого и среднего энергопотребления — скорость будет существенно ниже, но характеристики АЦП остаются на высоком уровне. В то же время в системах управления технологическими процессами, которые работают от сети, допускается гораздо большее потребление тока, поэтому можно использовать режим работы на полной мощности, что позволяет повысить скорость обновления выходных данных и производительность системы. Источники ошибок и варианты калибровки Когда конфигурация системы уже известна, следующий шаг заключается в оценке погрешностей АЦП и системных ошибок. Так, проектировщики системы могут оценить, удовлетворяет ли конфигурация входного интерфейса и АЦП целевым значениям точности и производительности. Конфигуратор и калькулятор суммарной погрешности RTD [4] дает пользователю возможность изменять конфигурацию системы для достижения оп-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
тимальных рабочих показателей. В качестве примера на рис. 9 представлен сводный отчет об ошибках системы. На круговой диаграмме системных погрешностей видно, что наибольшая доля ошибок связана с начальной точностью опорного резистора и его температурным коэффициентом. Следовательно, целесообразно рассмотреть вариант применения внешнего опорного резистора с более высокой точностью и более низким температурным коэффициентом. Погрешность, связанная с работой АЦП, вносит не столь большой вклад в общую системную ошибку. Однако ее можно еще уменьшить за счет использования внутренних механизмов калибровки, доступных в AD7124-4 и AD7124-8. Рекомендуется запускать внутреннюю калибровку при включении электропитания и инициализации ПО, чтобы исключить ошибки усиления и смещения АЦП. Обратите внимание, что подобная калибровка не избавит от ошибок, связанных с внешним контуром. АЦП также поддерживает возможность системной калибровки, которая позволяет минимизировать ошибки смещения и усиления для всей системы в целом, но это сопряжено с дополнительными затратами и для большинства областей применения попросту не требуется. Обнаружение неисправностей Наличие диагностических функций становится обязательным требованием, предъявляемым к оборудованию, если оно эксплуатируется в неблагоприятных условиях и если особое внимание уделяется безопасности.
Встроенная система диагностики в микросхемах AD7124-4/AD7124-8 позволяет снизить потребность во внешних компонентах для выявления неполадок, что делает конечный продукт более компактным, простым и относительно недорогим. Система диагностики включает: • проверку уровня напряжения на аналоговых выводах для контроля работы в допустимых диапазонах; • проверку работы шины SPI с использованием контрольной суммы (CRC); • проверку памяти с использованием контрольной суммы; • проверку сигнальной цепочки. Такое выявление неполадок делает решение более надежным. Анализ видов, последствий и диагностики отказов (failuremodes, effects, and diagnostic analysis, FMEDA) стандартного прибора на основе трехпроводного RTD показал, что значение доли безопасных отказов (safe failure fraction, SFF) превышает 90% в соответствии с IEC 61508.
Оценка системы на основе RTD На рис. 10 показаны данные измерений из документа CN‑0383. Результаты измерений получены на оценочной плате AD7124-4/AD7124-8, которая содержит демонстрационные версии двух-, трех- и четырехпроводного RTD, и пересчитаны в соответствующие значения градусов по Цельсию. По графикам видно, что ошибка двухпроводного RTD близка к нижней допустимой границе, тогда как трех- и четырехпроводной www.kite.ru
26
датчики
Отладочная плата позволяет пользователю оценить работу системы и в ознакомительном режиме протестировать каждую конфигурацию. Кроме того, разработку прошивки для различных конфигураций RTD можно упростить за счет применения примеров кода, доступных на страницах с описанием микросхем AD7124-4 и AD7124-8. Микросхемы АЦП AD7124-4/AD7124-8 с SΔ-архитектурой хорошо подходят для измерений с помощью RTD, поскольку они решают такие проблемы, как подавление частот 50/60 Гц, имеют широкий синфазный диапазон аналогового и опорного входных сигналов. Также они имеют высокую степень интеграции и содержат все функции, необходимые для разработки измерительных систем на основе RTD. К тому же они обладают расширенным функционалом, который включает возможности калибровки и встроенной диагностики неполадок. Такой уровень интеграции позволяет упростить проектирование системы, снизить затраты и ускорить переход от концепции к созданию опытного образца. Такие средства, как конфигуратор и калькулятор суммарной погрешности RTD [4], онлайн-инструмент Virtual Eval [5], аппаратно-программное обеспечение оценочных плат и документация CN‑0383, могут помочь проектировщикам решить проблемы соединения различных компонентов и рассчитать суммарную погрешность схемы, а пользователям — перейти на новый уровень взаимодействия с системой.
Заключение
Рис. 10. Точность измерения температуры для двух-, трех- и четырехпроводного RTD при использовании выходного фильтра, низком энергопотреблении и скорости обновления выходных данных 25 SPS
датчики демонстрируют ошибку, которая не выходит за рамки разрешенных значений. Более высокая погрешность двухпроводной схемы измерения объясняется сопротивлением подводящих проводов и уже описывалась ранее. Приведенные примеры показывают, что, следуя предложенным выше рекомендациям,
можно добиться большей точности и производительности системы, если использовать RTD-датчики совместно с узкополосными SΔ-АЦП производства Analog Devices, такими как AD7124-4 и AD7124-8. Документ CN‑0383 также может служить в качестве справочного материала для быстрого перехода к прототипированию системы.
В статье показано, что разработка системы измерения температуры на основе RTDдатчиков — это сложный и многоэтапный процесс. Он требует от проектировщиков принятия решений о различных конфигурациях датчиков, выборе АЦП, оптимизации и оценке влияния всех этих факторов на производительность системы. Разработанные компанией Analog Devices средства, такие как конфигуратор и калькулятор суммарной погрешности RTD [4], онлайн-инструмент Virtual Eval [5], аппаратно-программное обеспечение оценочных плат и пример разработки CN‑0383, упрощают этот процесс, решая проблемы соединения различных компонентов и суммарной погрешности схемы. n
Литература 1. www.analog.com/ru/products/ad7124-4.html 2. www.analog.com/ru/products/ad7124-8.html 3. www.analog.com/en/design-center/referencedesigns/circuits-from-the-lab/CN0383.html 4. www.analog.com/media/en/designtools/ calculators/rtd_configurator_and_error_budget_ calculator-rel1.0.0.exe 5. www.beta-tools.analog.com/virtualeval/
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
28
Интеллектуальные цифровые датчики газа iSeries компании Honeywell Александр Малышев alexandr.malyshev@eltech.spb.ru
Новинка от Honeywell — интеллектуальные газовые датчики нового поколения iSeries. Эти датчики имеют цифровой интерфейс, увеличенный срок службы и многочисленные встроенные функции самодиагностики.
Введение Интеллектуальные диагностические функции датчиков iSeries (рис. 1) помогают повысить общую производительность приборов, сделать их более «умными» и безопасными за счет индикации неисправностей и мониторинга состояния и тем самым снизить время простоя и эксплуатационные расходы. Эксплуатация датчиков Honeywell iSeries, несомненно, положительно отразится как на снижении совокупной стоимости владения, так и на повышении безопасности персонала и экономии, поскольку послепродажную поддержку можно взять под свой контроль. Простота интеграции в сочетании с предварительной калибровкой дает существенные преимущества OEM-производителям и пользователям в плане легкости установки датчиков на приборе. Встроенный код OEMблокировки может использоваться для предотвращения несанкционированной замены датчиков третьими лицами в условиях эксплуатации. Благодаря увеличенному сроку службы в пять лет и расширенному диапазону температур и влажности датчики iSeries подходят для применения в различных областях и климатических условиях. Рассмотрим подробнее основные особенности датчиков Honeywell iSeries.
Цифровой интерфейс Сейчас аналоговые датчики газов получили наибольшее распространение на рынке. При наличии в основном преимуществ в цене данное решение все же имеет и ряд недостатков. При установке аналогового датчика в измерительном приборе, несомненно, понадобится дополнительная электроника для преобразования показаний датчика в распознаваемый формат. Датчики iSeries позволяют избежать дополнительных затрат, так как обработка полученных данных о концентрации измеряемого газа не требуется, уже готовый сигнал можно вывести прямо на экран устройства
Рис. 1. Датчики газа iSeries
и/или использовать для выдачи оповещения, к примеру, о высокой степени концентрации газа или даже сигнала о неисправности датчика. Датчик использует протокол UART (Universal Asynchronous Receiver-Transmitter — универсальный асинхронный приемопередатчик) для связи с прибором с опцией выбора микросхемы ChipSelect. Связь осуществляется передачей пакетов данных через контактные площадки приемника (Rx), передатчика (Tx) и ChipSelect (CS) на задней панели датчика (рис. 2).
Взаимозаменяемость Все интеллектуальные датчики iSeries имеют одинаковое исполнение, расположение выводов, напряжение питания и протокол передачи данных, поэтому они взаимозаменяемы: • Все датчики этой серии будут работать с напряжением питания 3,1–3,3 В (в диапазоне V+… V–). • Все интеллектуальные датчики имеют одинаковые размеры и протокол передачи данных, независимо от типа технологии.
Компактная форма Высота датчика меньше, чем у традиционного датчика (рис. 3). Помимо меньшей высоты, датчики iSeries имеют основание
Рис. 2. Задняя панель датчика iSeries
с группой контактов, которое устанавливается на передней панели измерительного прибора, позволяя минимизировать его вес, в то время как традиционные датчики размещаются внутри приборов. Такое конструктивное исполнение упрощает доступ детектируемого газа к поверхности датчика (рис. 4). Передняя панель датчика достаточно устойчива к пыли, песку и грязи, а также к погружению под воду на максимальную глубину до 1,5 м на период до 30 мин при использовании рекомендованной конструкции уплотнения датчика. Уплотнительное кольцо вокруг датчика позволяет герметизировать датчик и достичь степени защиты IP68. Задняя панель устройства водопроницаема.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
Рис. 3. Наглядное сравнение размеров датчика iSeries с классическими электрохимическими датчиками
29
Рис. 4. Пример единообразия датчиков различных газов iSeries
Рис. 5. Размеры датчика iSeries для подбора уплотнения
Рекомендуемые размеры уплотнительного кольца составляют от 17,56 мм (мин.) до 17,76 мм (макс.) в диаметре, с толщиной от 3 мм, как показано на рис. 5.
Цифровая прослеживаемость Для оперативной идентификации датчика при установке в нем хранятся следующие данные: • серийный номер; • дата изготовления; • тип газа. Производителю изделия, сервисному инженеру или конечному пользователю не потребуется считывать или сканировать штрихкод для идентификации датчика, так как производитель позаботился о дополнительном цифровом отслеживании в течение всего срока службы датчика.
Встроенный защитный OEM-код блокировки Для производителей измерительных приборов, использующих датчики газа, в том числе и для реализации их как запасных частей для своих изделий, примерно 15–20% вторичного рынка теряется изза замены пользователями датчиков газа на неоригинальные. В отличие от многих распространенных решений, в интеллектуальных датчиках iSeries может быть запрограммирован уникальный код (свой для каждого клиента — производителя измерительных приборов). По сути, это специальный OEM-код, запрограммирован-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
ный в процессе изготовления конкретного датчика и не подлежащий изменению. При поставке датчика клиенту предоставляется этот код блокировки. Далее производимый измерительный прибор может проверять, есть ли у датчика уникальный код — если его нет, прибор не будет работать с этим датчиком. Для дополнительной защиты также предусмотрен защитный код второго уровня, который на заводе-изготовителе не записывается. Он может быть записан только один раз дистрибьютором или производителем измерительных приборов. Данная блокировка является опциональной.
Предварительно откалиброванные датчики Все датчики iSeries калибруются во время производства на заводе-изготовителе, данные калибровки закладываются в датчик в виде программы. Датчики полностью откалиброваны, если они установлены с использованием рекомендованных процедур пломбировки и монтажа. Для того чтобы калибровка была действительна для всех типов приборов, зачастую необходимо компенсировать воздействие на показания датчика таких элементов, как внешние сменные мембраны, насосы или системы отбора проб. Влияние таких элементов в значительной степени зависит от типа газа. Для учета этого воздействия необходимо использовать коэффициент компенсации (также называемый коэффициентом использования — User Factor, UF). Несмотря на то что все датчики iSeries предварительно калибруются, датчик становится полностью откалиброванным после предоставwww.kite.ru
30
датчики
ления на производство Honeywell коэффициента использования (UF) для конкретного типа прибора (происходит при размещении заказа). Затем во время производства в датчики прошивается индекс конкретного коэффициента использования (User Factor Index). Определенный индекс UF поможет установить тип прибора, и таким образом установленный датчик будет полностью скомпенсирован и откалиброван при монтаже. Наличие предварительной калибровки датчика является несомненным преимуществом, ведь, если устанавливаемый в собираемый измерительный прибор датчик газа не откалиброван, потребуется 3–5 мин на его предварительную калибровку, и только после этого его можно будет устанавливать в изделие. То же касается и ремонта, когда при замене старого датчика новым измерительные приборы нужно будет отправить в сервисный центр, где проводится калибровка и установка новых датчиков. Предварительная калибровка датчиков iSeries позволяет оперативно производить замену старых датчиков новыми. При этом выполнять его повторную калибровку не понадобится.
Интеллектуальные функции Показатели всех газовых датчиков со временем дрейфуют, и в итоге датчики нуждаются в повторной калибровке, причем величина дрейфа сильно зависит от среды, в которой применяется датчик. Традиционно разработчики приборов, менеджеры службы эксплуатации или конечные пользователи либо сами, исходя из своего опыта, определяют периоды выполнения повторной калибровки датчиков, либо следуют рекомендациям производителя датчиков или приборов. Это нередко приводит к неоправданно частым повторным калибровкам, увеличивающим затраты на эксплуатацию. Интеллектуальная платформа датчиков iSeries самостоятельно проводит внутреннюю диагностику для мониторинга состояния датчика и применения алгоритмов в целях как компенсации дрейфа, так и прогнозирования, когда уровень точности датчика выходит за заданный предел и требуется повторная калибровка. Платформа также может определить время износа датчика и заранее предупредить о необходимости его замены. При прогнозируемой калибровке и при индикации окончания срока службы используются прогнозы, основанные на условиях эксплуатации датчика. Опишем «умные» функции подробней. Прогноз повторной калибровки При наличии большого парка измерительных приборов их повторная калибровка через предписанные интервалы независимо от того, необходима она или нет, требует времени и дополнительных затрат. Иногда измерительные приборы работают в экстремальных условиях, и для обеспечения безопасности необходима более ранняя повторная калибровка. С помощью функции повторной калибровки датчики могут заранее спрогнозировать время снижения их уровня точности для получения надежных показаний. Эта функция помогает точно определить, когда понадобится повторная калибровка. Функция реализована так: с использованием диагностических электродов и встроенного механизма измерения температуры датчик рассчитывает дрейф своего выходного сигнала с течением времени. Датчик может заблаговременно спрогнозировать слишком большое увеличение своей погрешности, которая не будет обеспечивать его надежные и точные показания, и заранее выдать оповещение о необходимости повторной калибровки Датчик может определить время до повторной калибровки за шесть месяцев. Интервалы между калибровками как минимум в два раза больше, чем интервалы между калибровками обычных датчиков, и зависят от среды эксплуатации: датчики, эксплуатируемые в более благоприятных условиях, нуждаются в менее частой калибровке, чем датчики, функционирующие в агрессивных средах.
Пользователь может настроить предел точности датчика, и исходя из такого предела будут определены интервалы между калибровками. Другими словами, чем выше предел точности, тем чаще требуется калибровка. Таким образом, пользователь может выбрать соотношение между точностью и интервалом калибровки. Предусмотрен и настраиваемый встроенный таймер обратного отсчета с фиксированным интервалом калибровки для случаев, когда калибровка должна проводиться через определенные промежутки времени в соответствии с требованиями законодательства. Индикатор окончания срока службы Срок службы датчика в основном зависит от условий его эксплуатации, а с помощью функции индикации окончания срока службы датчик может сам заранее спрогнозировать снижение уровня его чувствительности для выдачи надежных и точных показаний. По аналогии с функцией прогнозируемой калибровки диагностические электроды и встроенный механизм измерения температуры также рассчитывают необратимый дрейф выходного сигнала. Сигнал об окончании срока службы подается, если датчик набирает/теряет значительное количество воды (концентрация электролита выше или ниже предельных значений). Датчики могут заранее спрогнозировать снижение уровня их чувствительности для выдачи надежных и точных показаний (заблаговременное предупреждение о необходимости замены датчика). Когда срабатывает функция окончания срока службы, датчик автоматически предупреждает об этом прибор с помощью набора признаков неисправности, передаваемых вместе с параметрами газа. При обнаружении неисправности прибор может сообщить пользователю о необходимости прекратить эксплуатацию датчика. Индикатор неисправностей Интеллектуальные датчики iSeries могут выявлять ряд внутренних неисправностей с помощью встроенных средств диагностики: • потеря контакта между датчиком и печатной платой (выявляется при проверке реакции датчика на газ); • неисправность эталонного электрода сравнения (по результатам измерения диагностическим электродом); • высыхание электролита (по результатам измерения диагностическим электродом); • окончание срока службы (рассчитывается по модели на основе таких входных параметров, как время, температура и концентрация электролита); • неисправность противоэлектрода (по результатам измерения контрполяризации); • разрушение шарика или короткое замыкание (у пеллистора); • неисправность диагностического электрода (от диагностического электрода поступают недостоверные данные). Коды ошибок передаются в измерительный прибор каждый раз, когда он запрашивает у датчика величину концентрации газа. Если датчик оповещает о любой из этих неисправностей, то, хотя он все же и будет пытаться выдавать достоверные показания концентрации, возникнет риск его некорректной работы. Поэтому пользователь должен прекратить эксплуатацию этого датчика, выполнить «ударный» тест и по его итогам возобновить использование. Такой «ударный» тест дополняет соблюдение нормативных требований.
Отладочный комплект В целях демонстрации работы цифровых датчиков iSeries, мониторинга их работы, пилотных испытаний компания Honeywell выпустила отладочный комплект (рис. 6) для оценки функционирования датчиков. Комплект соединяет цифровой газовый датчик с платой. Программное обеспечение управляет процессом снятия показаний с газовых датчиков. Результаты измерений с использованием датчиков и команды передачи данных отображаются на компьютере поль-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
31
Заключение
Рис. 6. Отладочный комплект для датчиков iSeries
зователя и могут быть записаны в файл .CSV для дальнейшего анализа. Плата также может использоваться в режиме моделирования, при котором она выполняет функции виртуального датчика.
Типы детектируемых газов и сертификаты Датчики Honeywell iSeries сертифицированы по стандартам ATEX и IEC Ex (EN IEC 60079-0 и EN IEC 60079-11) и разработаны в соответствии с многочисленными стандартами производительности, включая BS EN 45544-1, BS EN 50104, ANSI/ISA 92.00.01, ANSI/1SA 92.04.01 и AS/NZS 4641. В настоящее время доступны датчики для следующих газов: • Электрохимические: CO, H2S, SO2 и O2, NO, NO2, O3, Cl2, H2, NH3, двойной CO/H2S, двойной CO/H2 и H2S (расширенный рабочий диапазон). • Пеллистор: НПВ (нижний предел взрываемости).
новости
Датчики концентрации газов iSeries — отличный выбор для устройств промышленной безопасности и могут широко применяться в портативных и стационарных газовых детекторах, регистраторах данных и т. д. Отрасли, в которых используются такие приборы: • нефтегазовая и целлюлозно-бумажная; • химические предприятия, пруды-отстойники, целлюлозно-бумажные комбинаты, холодильная техника, сельское хозяйство, очистка сточных вод; • ряд отраслей, в которых при обслуживании оборудования используются растворители, краски и другие летучие органические соединения; • винзаводы и пивоварни; • строительные и сварочные работы; • транспортировка скоропортящихся продуктов. Следует сказать, что с разработкой и вводом в ассортимент интеллектуальных датчиков iSeries производитель Honeywell создает на рынке газовой аналитики принципиально новое отношение к использованию и сервису оборудования, в составе которого имеются датчики газа. Функции самодиагностики датчика позволяют конечному потребителю безопасно использовать прибор на протяжении срока службы, не заботясь о регулярной проверке датчиков (датчик сам оповестит, когда нужно выполнить калибровку или замену), а наличие встроенного защитного OEM-кода блокировки предоставляет возможность производителю изделий прогнозировать поставки датчиков в качестве запасных частей к выпускаемой продукции. n
Литература 1. 2. 3. 4. 5.
Honeywell AST-Digital-Gas-Sensors-iSeries-FLY-LTR-EN-0921-1_002710-2-EN. Honeywell AST-iSeries-EOL-Pred-Calibration-APN-LTR-EN-0821-2_002717-2-EN. Honeywell AST-iSeries-Sensor-Mounting-APN-LTR-EN-0821-1_002738-1-EN. Honeywell AST-Sensor-Eval-Kit_iSeries-EN-LTR-0821-2_002711-2-EN. Honeywell sps-ast-iSeries-general-faq-002720-en.
интерфейсы
Ethernet-модули на 10G-Base-T c PoE до 140 Вт для сетей LTE и 5G от Pulse Electronics Компания Pulse Electronics выпустила Ethernetмодули коммерческого класса с интегрированными трансформаторами 10G-Base-T и поддержкой питания PoE+ до 140 Вт или 90 Вт на IEEE802.3bt, в зависимости от модели. Они обеспечивают широкий диапазон рабочих температур –40…+85 °C и оптимальны для использования в новейших мобильных беспроводных точках доступа (WAP) LTE и 5G, а также в других промышленных и наружных применениях. Устройства представляют собой единый модуль и обеспечивают превосходную электромагнитную совместимость, так как все ЭМИ-излучения ограничены экраном разъема RJ‑45. Большая полость позволяет поддерживать более высокие токи PoE до 1,3 А без перегрева при работе под высокой нагрузкой. Экран имеет верхний и нижний выводы EMI, дополнительные точки заземления для улучшения ЭМС. Передний и задний светодиод, сигнал и контакты PoE в средней части обеспечивают достаточное разделение, чтобы облегчить задачи поддержки стандартов EMC и питания/сигнала/заземления на печатной плате. КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Варианты с четырьмя парами PoE могут быть развернуты как для источника питания (PSU), так и для оконечного оборудования (PD) для максимальной унификации. Особенности: • 10G Ethernet через UTP, превосходящий IEEE802.3an; • поддерживает четыре пары PoE с номинальной мощностью 90–140 Вт; • двухцветные светодиоды для индикации рабочего режима; • прочная конструкция для промышленного и наружного использования; • однокомпонентное решение с отличной ЭМС;
• индивидуальные выводы CT для гибких соединений PHY. Применение модулей: • базовые станции и шкафы на крыше: мобильные мачты 4G, LTE и 6G и шкафы на крыше, датакомы «точка-точка»; • промышленные/наружные заводские серверы; • маршрутизаторы и коммутаторы; • вывески и видеостены; • защищенная мобильная связь; • точки доступа; • обработка изображений; • регистрация данных и удаленный мониторинг. www.macrogroup.ru
www.kite.ru
32
новости
Новости сайта www.efo.ru RUNIC Компания RUNIC выпустила семейство 36‑В операционных усилителей общего назначения RS845x. Новые усилители отличаются сбалансированным набором характеристик, имеют широкий диапазон рабочих напряжений. Семейство RS845x характеризуется сильным подавлением синфазной помехи и помехи по питанию, имеет вход от нижней шины и выход от шины до шины. Доступны также триммированные версии — RS845xP с напряжением смещения нуля не более 0,6 мВ. Усилители выпускается в корпусах SOT23, SOIC, MSOP и TSSOP.
Lattice
Компания Lattice Semiconductor представила последнюю версию стека решений Automate для систем промышленной автоматизации с сетевыми возможностями, которые позволяют работать в реальном масштабе времени и осуществлять спрогнозированное искусственным интеллектом обслуживание оборудования для повышения его производительности. Также объявлено о выпуске обновленной версии среды проектирования Lattice Propel для разработки микропроцессорных систем промышленной автоматизации на базе синтезируемого процессорного ядра RISC-V.
IAR Systems Компания IAR Systems представляет пакет Compliance Suite STM32, позволяющий проводить разработку устройств «Интернета вещей» в соответствии с директивами европейского стандарта безопасности IoT Security Standard EN 303 645. Стандарт распространяется на промышленные, коммерческие и потребительские IoT-приложения. Пакет Compliance Suite включает предварительно настроенный контекст безопасности, Secure Boot Manager, расширение C‑TRUST, статический анализатор кода C‑STAT, серию курсов с практическим руководством и технической поддержкой от специалистов Secure Thingz. В перечень поддерживаемых Compliance Suite устройств входят 32‑разрядные ARM-микроконтроллеры производства компании STMicroelectronics: STM32F405, STM32F407, STM32F412, STM32F429, STM32F777, STM32L475, STM32L4R, STM32L4S5, STM32L5, STM32H725, STM32H735, STM32H743, STM32H753, STM32H7A3, STM32H7B3, STM32WB55.
Silicon Radar
Компания Lattice опубликовала статью «Преимущества ПЛИС относительно микроконтроллеров», в которой отмечаются такие возможности микросхем программируемой логики, как реализация параллельных алгоритмов обработки данных и обновление прошивки в работающем оборудовании для продления срока жизни уже готового изделия. Перевод статьи доступен на сайте www.latticesemi.ru в разделе Материалы/Статьи.
Компания Silicon Radar выпустила сверхширокополосный приемопередатчик TRA_120_045 с типовой перестройкой 114–134 ГГц. Отдельные экземпляры обеспечивают 24,9 ГГц перестройки. Приемопередатчик содержит ГУН, делитель частоты на 32, умножитель и усилитель мощности, приемную и передающую антенны, МШУ и квадратурный детектор. Выходная мощность составляет –2…+10,5 дБм, усиление преобразования 10 дБ, коэффициент шума 9 дБ. Потребление составляет 190 мА (макс.) при напряжении питания 3,3 В. Микросхема предназначена для применения в ЛЧМ-радарах высокого разрешения до 100 м. Она выпускается по технологии SG13S SiGe BiCMOS, в корпусе QFN‑32 размером 5×5 мм, для диапазона рабочих температур –40…+85 °C.
*** Lattice расширяет возможности Automate Solution Stack и Propel Design Tool для ускорения разработки приложений в области робототехники, «умного» производства и управления приводами.
Санкт-Петербург, ул. Новолитовская, д. 15, лит. А, бизнес-центр «Аквилон», офис 441; (812) 327-86-54; e-mail: zav@efo.ru.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
33
Датчики измерения активной мощности и другие приборы контроля электрических параметров Олег Болотин Григорий Портной, к. т. н. Константин Разумовский Олег Яценко sensor@gorizont-plus.ru
Р
оссийский рынок электротехники и энергетики, так же как и зарубежный, уже давно адаптировался и предлагает современные средства измерения и контроля основных электрических параметров. Повсеместно наблюдается замена традиционных средств измерения в виде шунтов, трансформаторов тока, магнитных усилителей и т. д. современными преобразователями для измерения любых видов тока и напряжения. Эти приборы, как правило, имеют небольшой размер и удобны для монтажа в блок, шкаф или на печатную плату [1]. В ряде случаев, особенно когда электрическая цепь уже собрана или невозможна остановка производства для мониторинга токовых сигналов, потребитель
Рис. 1. Внешний вид преобразователя ПИМ‑1‑Т‑Д для измерения активной мощности в диапазоне до 1 кВт
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
В статье представлены результаты разработок, проведенных специалистами компании «НПО «Горизонт Плюс» и позволивших вывести на рынок современные малогабаритные приборы для измерения активной мощности в широком диапазоне. Приведены рекомендации по конкретному применению новых приборов. Указанные датчики измерения мощности удачно дополнили линейку выпускаемых компанией преобразователей измерения тока и напряжения.
может использовать разъемные датчики тока, которые надеваются непосредственно на круглую или плоскую токовую шину [2, 3]. Дополнительное преимущество таких приборов — обеспечение гальванической развязки входных и выходных сигналов. Удобны для разработчиков и трехфазные датчики напряжений, изготовленные в стандартном корпусе с возможностью крепления его на DIN-рейку [4]. Последнее время к описанной категории приборов добавлены и датчики измерения активной мощности, интенсивно разрабатываемые фирмой «НПО «Горизонт Плюс» в г. Истре Московской области. Основные характеристики таких преобразователей приведены ниже.
Типовые представители преобразователей активной мощности от компании «НПО «Горизонт Плюс» Преобразователь мощности является логическим продолжением описанных выше датчиков измерения тока и напряжения. Такой прибор аппаратно реализует вычисление мощности Р = IUcosj и преобразует активную мощность, потребляемую нагрузкой, в пропорциональный сигнал интерфейса 0/20 или 4/20 мА, гальванически изолированный от измерительных цепей. Конструктивно преобразователь мощности серии ПИМ состоит из первичного датчика тока (трансформатора или шунта) и печат-
Рис. 2. Внешний вид преобразователя мощности ПИМ‑30‑Т‑Б30 для монтажа в блок под круглую токовую шину диаметром до 30 мм
www.kite.ru
датчики
34
Таблица. Характеристики типовых преобразователей активной мощности производства ООО «НПО «Горизонт Плюс» Габаритные размеры, мм
Диаметр отверстия под токовую шину, мм
Диапазон измеряемых мощностей, кВт
115×95×102 120×110×106
30 40
0,75–400
ПИМ-...-П-Б30
105×95×102
30
ПИМ-...-П-Б40
120×110×107
40
Устройства
Выходной сигнал датчика, мА
ПИМ-...-У-Б30 ПИМ-...-У-Б40
ПИМ-...-Т-Д ПИМ-...-Т-Б14 (в разработке) ПИМ-...-Т-Б30 ПИМ-...-Т-Б40 ПИМ-...-ТА-Б30 ПИМ-...-ТА-Б40
4–20
5–1500 (только для постоянного тока и напряжения)
–
0,15–2000 (только 50 Гц)
95×54×66
–
–
70×55×34
14
0,075–75 (только 50 Гц)
100×95×102 120×110×107 105×95×102 120×110×107
30 40 30 40
0,75–400 (только 50 Гц)
ной платы, на которой установлены элементы электронной схемы обработки сигнала. Преобразователь ПИМ выполняет аналогоцифровое преобразование (АЦП) мгновенных значений тока и напряжения, поданных на соответствующие входы, перемножение соответствующих цифровых кодов и выдачу результата на цифро-аналоговый преобразователь. Гальваническая изоляция от входных цепей осуществляется применением оптопары или цифровыми изоляторами фирмы Analog Devices при передаче данных внутри преобразователя и использованием изолированного источника питания для входных каскадов. Интегральный измеритель активной энергии ADE фирмы Analog Devices выдает частотный сигнал, пропорциональный измеряемой активной мощности. Для преобразования частотного сигнала в выходной сигнал токового интерфейса 4–20 мА вместо обычно применяемой дорогостоящей связки — микроконтроллер с кварцевым резонатором и ЦАП — использован прецизионный преобразователь частота-напряжение с термостабильным конденсатором. Это позволило повысить надежность системы в целом и, что немаловажно, снизить ее себестоимость. Предприятие ООО «НПО «Горизонт Плюс» сегодня выпускает линейку преобразователей в модульном исполнении, измеряющих электрическую мощность в цепях переменного тока. Примером может служить ПИМ‑1‑Т‑Д на 1 кВт, включаемый в разрыв токовой цепи (рис. 1). Другие варианты преобразователей (ПИМ-***-Т‑Б30 на рис. 2) изготовляются в корпусах с отверстием, через которое пропускается токовая шина. Номинальная измеряемая мощность таких типов преобразователей варьируется от нескольких ватт до сотен киловатт, в зависимости от требований заказчика. Для измерения активной мощности в больших диапазонах (до 1500 кВт) можно рекомендовать преобразователи ПИМ-***-У и ПИМ-***-П (таблица). Первый из них линейно преобразует величину активной мощности в цепях постоянного и переменного тока частоты 50 Гц. Второй — величину активной мощности в цепях постоянного тока. Конструктивно эти преобразователи выполнены в пластмассовом корпусе с диаметром отверстия под токовую шину 30 или 40 мм,
Диапазон измеряемых мощностей, Вт
–
0,75–200 (только 400 Гц)
аналогично указанному на рис. 2. Питание приборов осуществляется от однополярного источника 10–30 В, потребляемый ток — не более 100 мА. Предел допустимой основной приведенной погрешности преобразования активной мощности — менее 2%. Выходной сигнал токового интерфейса — 0/20 или 4/20 мА.
ПИМ-Т — новый прибор в линейке выпускаемых преобразователей мощности Вышеописанные приборы предназначены для измерения активной мощности в цепях переменного тока промышленной частоты 50 Гц. Однако в авиационной технике и в других областях промышленности часто необходимо обеспечить работу приборов при нестандартных частотах 400 Гц, а также более высоких частотах, вплоть до 7 кГц. Для этих целей специалистами компании был разработан преобразователь мощности ПИМ-Т, пополнивший линейку выпускаемых приборов для контроля мощности. ПИМ-Т представляет собой упрощенный вариант более сложного преобразователя активной мощности на постоянный ток ПИМ-У, который давно выпускается фирмой с интерфейсом ModBus RTU 9600 БОД или 19200 БОД. Действительно, ПИМ-У имеет достаточно сложную магнитную систему с датчиками Холла, применение которой сопряжено с рядом технических сложностей. В частности, система в целом и конкретно датчики Холла требуют температурной компенсации. Кроме того, электрическая схема прибора должна обеспечить борьбу с помехами, неизбежно проявляющимися из-за невысокого соотношения сигнал-шум линейных арсенид-галлиевых датчиков Холла. В связи с этим, а также с учетом указанных условий применения, в конструкции преобразователя мощности ПИМ-Т в качестве первичного датчика тока используется трансформатор тока, что упрощает и удешевляет конструкцию преобразователя по сравнению с преобразователем активной мощности на постоянный ток ПИМ-У. Структурно ПИМ-Т состоит из трансформатора тока, счетчика активной энергии, реализованного на специализированной ИС производ-
ства Analog Devices, гальванической развязки и выходного интерфейса 4–20 мА. На входы счетчика активной энергии подаются сигналы, пропорциональные входному току и напряжению, счетчик производит их обработку, то есть преобразование «аналог-цифра», перемножение мгновенных значений тока и напряжения и интегрирование результата по времени. Выходной сигнал счетчика представляет собой серию импульсов, каждый из которых соответствует определенной, весьма малой порции потребленной энергии. Чем больше активная мощность нагрузки, тем чаще следуют выходные импульсы. Эта зависимость линейная, что позволяет сравнительно просто произвести преобразование «цифра-аналог», необходимое для обеспечения стандартизованного выхода 4–20 мА. Гальваническая изоляция сигнала активной мощности обеспечивается единственным оптроном. В качестве преобразователя «цифра-аналог» в конструкции прибора установлен прецизионный преобразователь частота-напряжение lm231. Нелинейность преобразования не превышает 0,02%. Выходной сигнал преобразователя частота-напряжение подается на вход интерфейса 4–20 мА, реализованного на ИС AD694. Преобразователи ПИМ-Т предназначены для измерения активной мощности в диапазоне от единиц ватт до сотен киловатт. Поэтому конструктивно преобразователи мощности ПИМ-Т выпускаются в различных корпусах, в зависимости от предъявляемых к ним требований. Большая мощность подразумевает большое сечение токовой шины, и такие преобразователи выполнены в блочном корпусе с диаметром отверстия под токовую шину 30 или 40 мм. Если измеряемая мощность не превышает 1 кВт, что соответствует первичному току порядка 5–10 А, преобразователь может быть выполнен в компактном корпусе типа z‑107, который монтируется на DIN-рейку. Особо следует отметить преобразователь ПИМ-Т в компактном корпусе Б14 с отверстием под токовую шину 14 мм (рис. 3). ПИМ-Т в этом корпусе измеряет мощность вплоть до 75 кВт, а малые размеры делают его по-своему уникальным прибором, представленным на отечественном рынке.
Рис. 3. Внешний вид преобразователя мощности ПИМ-Т‑Б14
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
Рис. 4. Внешний вид токовых клещей без кольцевого магнитопровода для контроля токов до 10 000 А
Модернизация датчиков с целью снижения их стоимости Описанные выше приборы используют один и тот же принцип бесконтактного измерения тока с помощью магниточувствительных датчиков Холла, которые находятся в зазоре специализированного сердечника и измеряют магнитное поле проходящего тока. В ряде применений, в частности при измерении постоянных токов, можно обойтись без дорогостоящих традиционных магнитопроводов из аморфного железа. В этом случае датчики Холла располагаются вокруг проводника с током, выходные сигналы датчиков суммируются и эта сумма используется в расчете измеряемого тока. Конечно, помехозащищенность в таком случае снижается, но в некоторых приложениях это вполне допустимо. Например, хорошо известны и широко применяются энергетиками токоизмерительные клещи с U‑образной измерительной головкой с датчиками Холла, размещенной на диэлектрической штанге. С помощью телескопической штанги U‑образная головка подводится под проводник с током так, что проводник попадает между датчиками Холла, раз-
новости
35
мещенными в головке. Результат измерения выводится на светодиодный индикатор. В компании «НПО «Горизонт Плюс» были разработаны токовые клещи, использующие описанный метод преобразования тока без дорогостоящих жидкокристаллических индикаторов и кольцевых магнитопроводов. Такие клещи подключаются к измерительному устройству кабелем или с помощью приложения Bluetooth. Для наблюдения за формой тока или для измерения импульсных токов выходной сигнал после сумматора поступает, например, по кабелю, на вход осциллографа или устройства для измерения импульсных сигналов. Внешний вид таких клещей, позволяющих измерять токи до 10 000 А, представлен на рис. 4. В конструкции клещей предусмотрены подвижные «плавающие» губки, в которых смонтированы четыре датчика Холла SS495A. Выходной сигнал с каждого датчика поступает на сумматор, изготовленный на операционном усилителе. С выхода сумматора сигнал поступает на неинвертирующий вход инструментального усилителя, на инвертирующий вход которого, с источника опорного напряжения, поступает напряжение, равное половине питания датчиков Холла SS495A. Опорный сигнал инструментального усилителя привязан к общему проводнику. Таким образом, положительное направление тока будет производить на выходе инструментального усилителя положительное, а отрицательное — отрицательное напряжение, пропорциональное измеряемому току. На выходе клещей установлен преобразователь, обеспечивающий преобразование напряжения в ток. n
Литература 1. Портной Г. Я., Болотин О. А., Разумовский К. П. и др. Современные датчики для измерения тока и напряжения // ИСУП. 2016. № 1. 2. Портной Г. Разъемные датчики измерения тока под плоскую и круглую шины // Электронные компоненты. 2015. № 9. 3. Болотин О., Портной Г. и др. Разъемные датчики тока — актуальный сегмент на рынке датчиков // Компоненты и технологии. 2014. № 1. 4. Болотин О., Портной Г. и др. Применение датчиков измерения тока и напряжения для решения инженерных задач // Компоненты и технологии. 2016. № 1.
источники питания
Новый высокопроизводительный DC/DC-конвертер от Traco Power Компания Traco Power представляет новую линейку высокопроизводительных DC/DC-конвертеров мощностью 100 Вт со сверхшироким диапазоном входного напряжения 12:1. TEP 100UIR поставляется в компактном, полностью герметизированном корпусе размером 2,31,450,5 дюйма для обеспечения максимальной надежности. Сверхширокий диапазон входного напряжения позволяет унифицировать конечное изделие. Благодаря внутренней буферной системе нет необходимости во внешней обвязке конденсаторами. TEP 100UIR сертифицирован по EN 50155 для применения в железнодорожной области, по EN 61373 по механическим ударам и вибрации и по EN 45545-2 по противопожарным характеристикам. TEP 100UIR соответствует сертификату безопасности IEC/EN/UL 62368-1. Основные характеристики: • диапазон входного напряжения: 9–75,14–160 В; • выходное напряжение: 5, 12, 15, 24, 48, 53 (±10%) В DC; • компактный корпус: 2,31,450,5 дюйма (1/4 brick); • тип монтажа: THD; • вывод для подключения буферного конденсатора; • сертификаты для железнодорожных применений: EN 50155 и EN 61373; • огнестойкость согласно EN 45545-2;
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
• диапазон рабочих температур: –40…+85 °C; • регулируемая блокировка пониженного напряжения (UVLO), защита от короткого замыкания (SCP), защита от перенапряжения (OVP) и защита от перегрева (OTP); • I/O‑изоляция (вход/выход): 3000 В; • гарантия: 3 года. www.ptelectronics.ru
www.kite.ru
датчики
36
ToF сегодня. Получение 3D-изображения: необходимо или избыточно
Александр Шведов
С
Еще пару лет назад технология получения объемного изображения изучаемого объекта — Time of Flight, или ToF, — была на слуху только у малой группы профессионалов, нашедших ее для себя полезной. В остальных случаях, нередко в ходе многочисленных выставок, мы слышали о времяпролетных измерениях, в основном индустриальной направленности, где каждый раз демонстрировалось множество условно уникальных алгоритмов и областей применения, чаще всего не имеющих почти ничего общего с реализацией практических задач.
егодня же, вместе с непрекращающейся миниатюризацией и ростом функционала полупроводников, мы видим, как еще недавно фантазийные технологии обретают свое место среди привычных для нас приборов. ToF-сенсор в смартфоне (рис. 1) теперь должен установить каждый уважающий себя производитель, и именно на отсутствие датчика укажут все, кому доведется написать обзор о мобильной новинке. Но так ли он необходим, и вообще — зачем нужен этот ToF-сенсор? Сама по себе времяпролетная технология существует довольно давно, ее, вероятно, позаимствовали у летучих мышей, измеряющих расстояние до объекта по времени, необходимому для возвращения испускаемого ими же ультразвукового сигнала. Похожий принцип используется во всем известной медицинской процедуре МРТ, где картинку получают с помощью анализа отраженного сигнала различной частоты. Получать видеосигнал аналогичным способом научились недавно, с тех пор стараясь найти для новой технологии стóящие применения. Их к нашим дням набралось немало. Абсолютное внимание сегодня принадлежит флагманским смартфонам, где для сохранения репутации уже никак нельзя обойтись
Рис. 1. ToF-сенсор Gpixel GTOF0503
без ToF-сенсора. К той же категории можно отнести и различные бытовые приборы, вроде игровых приставок и домашних ПК. Не исключено, что, как и Wi-Fi, времяпролетные камеры вскоре будут установлены даже в чайнике. Пока что технология применяется, например, для распознавания лица владельца устройства Face-ID, создания дополненной реальности (рис. 2), бесконтактного взаимодействия пользователя с контентом (рис. 3), метрологии. Проще говоря, последние функции позволяют расставить мебель в квартире, в реальном времени дорисовывая диван или кухонный гарнитур на экране смартфона, при этом измеряя реальные размеры предметов с завидной точностью. И можно заказать пару новых кроссовок из онлайн-магазина, примерив их и рассмотрев со всех сторон, — разве это не удобно? «Боке» — это слово, наверно, знает сегодня каждый. Художественный эффект, позволяющий выделить объект съемки путем размытия фона, достигается обычно за счет свойств сенсора и оптики, объектива камеры, а именно определенного фокусного расстояния и светосилы и определяется получаемой глубиной резкости. Необходимых значений параметров оптики для получения такого эффекта при помощи камеры мобильного телефона достичь не удается. И сегодня боке в камере мобильного телефона формируется программным способом, в том числе комбинацией информации, полученной с нескольких камер одновременно. Причем наибольшей точности удалось добиться именно при помощи трехмерной карты снимаемого объекта для его выделения и последующего размытия фона. Именно эти, мобильные применения и дали существенный толчок развитию ToF-технологии получения объемного изображения изучаемого объекта в реальном времени. Что же до других социально значимых задач, решить которые должны ToF-камера и ToF-сенсор, среди них стоит особо отметить автомобильные вспомогательные системы. Ведь именно они сегодня все чаще становятся незаменимыми помощниками водителей и призваны сократить число несчастных случаев на дорогах. Это и системы автономного вождения (рис. 4), разрабатываемые по всему миру, и системы автономной парковки автомобиля, навигации дронов, и системы контроля приближения пешеходов, а также других транспортных средств. Немаловажен и внутренний комфорт водителя, поведение которого также контролируют модули, основанные на построении его трехмерной модели. Они помогают следить за состоянием и поведением водителя (рис. 5), позволяют изменять натяжение ремня безопасности в соответствии с телосложением, количеством одежды и движений водителя, настраивать положение и форму кресла и даже распозна-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
Рис. 2. Пример создания дополненной реальности (источник: Sony Depth Sensing website)
Рис. 3. Пример бесконтактного взаимодействия пользователя с контентом (игровой контроллер Kinect)
вать владельца транспортного средства для улучшения контроля доступа к функционалу автомобиля. Все это дополняет эргономиче-
ские усовершенствования, ведь при помощи анализа движений трехмерной модели водителя появляется возможность бесконтактного управления жестами. Однако следует помнить, что именно индустрии мы обязаны развитием ToFтехнологии. Ведь в промышленности эти приборы давно применяются, и во многих отраслях они сегодня незаменимы, в том числе при измерении и контроле заполнения объема, что нередко можно наблюдать в автоматизированных, а тем более в автоматических системах — например, для автоматического нанесения логотипа на поверхность упаковки, вне зависимости от формы и размера объекта. На рис. 6 показана работа автоматического склада, где две камеры погрузчика позволяют без участия оператора управлять машиной и выполнять погрузку. В этом примере при создании камеры использовался новейший iToF-сенсор Gpixel GTOF0503. В приведенной автоматической складской системе верхняя камера погрузчика позволяет определить общую обстановку, выявить препятствия и избежать столкновений. Фронтальная камера проводит измерение и идентификацию объектов, расположенных перед ней. Такое сочетание помогает точно и эффективно управлять погрузчиком, полностью контролируя пространство вокруг него. Производных у такого применения мо-
37
Рис. 4. Автомобиль с системой автономного вождения (источник: Sony Depth Sensing website)
Рис. 5. Пример распознавания жестов водителя (источник: Sony Depth Sensing website)
жет быть множество, и что касается управления беспилотными устройствами, здесь переоценить ToF- и 3D-измерения невоз-
Рис. 6. Кадры испытаний автоматического управления погрузчиком на основе двух iToF-камер на базе сенсора Gpixel GTOF0503
а
б
в
Рис. 7. Примеры основных методов получения и анализа 3D-изображения (источник: ZIVID AS): а) лазерная триангуляция; б) системы на основе структурированного света; в) времяпролетная система
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
датчики
38
можно — без них сегодня было бы действительно сложно получить достойный результат. Но давайте отвлечемся от практических применений и обратимся к самой технологии и ее основе — сенсорам изображения, без которых ни о каком 3D не могло бы быть и речи. На рис. 7 схематично показаны принципы работы основных широко применяемых сегодня индустриальных систем построения 3D-изображения. Среди них (слева направо) лазерная триангуляция, системы на основе структурированного света и времяпролетные системы, о которых шла речь в начале статьи. Поговорим коротко о каждой из них. Лазерная триангуляция Широко распространенная лазерная триангуляция относится к простейшим системам и основана на двух ключевых компонентах: лазерном излучателе и принимающей сигнал камере. Такая система проста в реализации как с точки зрения физических компонентов и их согласования, так и в написании алгоритмов программного обеспечения. Лазерная триангуляция — технология сканирующая, она как нельзя лучше подходит для поточных производственных и логистических линий, дорожного и ж/д полотна. Однако для изучения трехмерных объектов данный способ хотя и применим, но неудобен ввиду необходимости организации множества точных перемещений для сканирования всей поверхности изучаемого объекта. К тому же с таким методом стоит забыть про информацию о цвете. Какие сенсоры понадобятся для реализации этого метода? Критичными параметрами станут кадровый затвор (Global Shutter), разрешение по горизонтали (разрешение по вертикали ограничено сканирующим видом получения изображения) и соотношение сигнал-шум (SNR), обусловленное величиной накапливаемого сигнала (размером пикселя). Все эти параметры отвечают за точность построения итогового изображения. Немаловажной станет и высокая кадровая частота. В качестве примера приведем два сенсора Gpixel: первый — индустриальный GMAX2509 с разрешением по горизонтали 4200 пикселей, кадровой частотой до 618 Гц при ограничении в 1000 строк и SNR 38,3 дБ. Второй — представитель сверхскоростной серии GSPRINT4510 с горизонтальным разрешением 4608 пикселей, скоростью считывания 3886 Гц при ограничении в 1000 строк и SNR 44,8 дБ. Системы на основе структурированного света Системы на основе структурированного света имеют сходство с принципом лазерной триангуляции, но вместо точечного лазерного излучения здесь используется подсветка поля. Это называется мето-
Рис. 8. ToF-сенсор Gpixel GTOF0503
дом полного поля, поскольку он обеспечивает полное трехмерное изображение объекта с высоким уровнем точности и разрешения. Метод широко распространен в AOI — автоматической оптической инспекции объектов для одномоментного, однокадрового построения 3D-изображения исследуемого объекта, что демонстрирует высокую производительность и гибкость. Однако такой метод требует статичности исследуемого объекта и чаще всего используется в лабораторно-производственном оборудовании. Сенсоры для системы на основе структурированного света также должны отличать кадровый затвор (Global Shutter), большое разрешение (ВГ) предпочтительно в соотношении 11, хороший SNR для обеспечения точности построения 3D-объекта и режим HDR. Все эти характеристики отлично представлены в сенсорах Gpixel индустриального GMAX и сверхскоростного семейства GSPRINT с кадровым затвором. GMAX3265 c разрешением 93447000 (ВГ) с кадровой частотой до 71 Гц при полном разрешении, SNR 40 дБ и чересстрочным HDR. GSPRINT4521 c разрешением 51204096 (ВГ) выдает до 1000 Гц при полном разрешении с SNR 44,8 дБ и полнокадровым HDR-режимом за счет одновременного считывания кадра с разными коэффициентами усиления. Времяпролетные системы Возвращаясь к времяпролетным системам (Time of Flight), уточним, что в отличие от пространственных систем они основаны на измерении периода времени, необходимого для пролета света от источника до объекта и обратно. Сам метод делится еще на два подвида, прямой (direct, dToF) и непрямой (indirect, iToF), в которых время измеряется напрямую и за счет анализа фазового соотношения между излучаемым и детектируемым светом с синусоидальной модуляцией интенсивности соответственно. Развитием второго метода является импульсный метод, в котором лазер излучает очень короткие световые импульсы в сочетании с синхронизированным сенсором изображения со стробированием. В последнем случае для построения 3D-изображения попиксельно анализируется количество накопленного сигнала, принимаемого пропорционально за дальность нахождения точки объекта.
Заключение В настоящее время ToF-приборы интенсивно используются в самых разнообразных направлениях. Сегодня эти устройства стали действительно эффективными и компактными, что еще более расширило области их применения. Построение и анализ 3D-изображения объекта и его окружения с ToF возможны в реальном времени и на различном удалении. Впрочем, проектировщикам еще есть над чем поработать — малое разрешение сенсоров и конечных систем. Но и это лишь вопрос времени. Для достижения максимальной результативности ToF-система сегодня должна быть основана на сенсоре, отвечающем следующим требованиям: • Кадровый затвор (многоступенчатый, multi-tap Global Shutter) для максимально резкого изображения без размытия. • Обратная засветка (BSI), высокая частота модуляции (время передачи <5 нс) и комплексная встроенная схема синхронизации на кристалле. Все это необходимо для получения достоверной точности получаемого изображения в реальном времени. • Высокая частота кадров для точной фиксации объекта в каждый момент времени. • Встроенные схемы захвата и считывания для обеспечения гибкости системы. Ярким примером ToF-сенсора, отвечающим всем этим требованиям, служит новая матрица Gpixel GTOF0503 (рис. 1, 8). Этот BSI КМОП-сенсор создан при всесторонней поддержке индустриальных партнеров, с учетом их пожеланий и реально востребованных функций. Разрешение матрицы 640480 пикселей размером 5 мкм каждый, трехступенчатый кадровый затвор (3‑tap Global Shutter), контраст демодуляции до 80% при 165 МГц, время передачи
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
39
новости
силовая электроника
Повышающий 60‑кВт преобразователь с чередованием фаз на SiC MOSFET от Wolfspeed
а
б
в
Рис. 9. Визуальное сравнение кадров, полученных в идентичных условиях с применением сенсора: а) Sony IMX516; б) Gpixel GTOF0503; в) Samsung
Сенсоры демонстрируют схожие параметры, ну а окончательное решение, конечно же, принимает потребитель, в соответствии с имеющимися требованиями и поставленными задачами. Однако следует заметить, что сегодня, вне всяких сомнений, ToF-сенсоры не только стали неотъемлемой частью промышленности и автоиндустрии, но и прочно закрепились в жизни обычных людей. Стоит лишь немного углубиться в техническое оснащение современной бытовой электроники, как на поверхности окажутся ToF-сенсоры, помогающие сделать жизнь людей более эффективной и комфортной. n
Реклама
3–5 с — все эти параметры как нельзя лучше соответствуют требованиям индустрии. Дополненный кадровой частотой до 60 Гц при полном разрешении и широким операционным функционалом вроде встроенного биннинга, отражения по горизонтали и вертикали, выделения областей интереса (ROI), множества режимов захвата изображения, востребованного интерфейса MIPI, датчик может претендовать на звание одного из лучших представителей ToF-сенсоров. В заключение приведем визуальное сравнение кадров, полученных в идентичных условиях с применением сенсоров Sony IMX516, Gpixel GTOF0503 и а Samsung (рис. 9).
Компания Wolfspeed выпустила референсдизайн повышающего 60‑кВт преобразователя с чередованием фаз на основе SiC МОПтранзисторов 1200 В 75 мОм — CRD‑60DD12N. Характеристики повышающего преобразователя: • демонстрирует работу SiC MOSFET 1200 В 75 мОм Wolfspeed поколения C3M в повышающем 60‑кВт преобразователе в режиме чередования фаз; • преобразователь состоит из четырех уровней по 15 кВт, на каждом из которых используется драйвер затвора MOSFET CGD15SG00D2; • напряжение на входе 470–800 В DC, 850 В DC на выходе с пиковым КПД 99,5% и удельной мощностью 127 Вт/дюйм3; • документация включает BOM (список комплектующих), дизайн-файлы и инструкцию по применению. www.macrogroup.ru
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
датчики
40
Инерциальные модули компании Xsens: математические алгоритмы совместно с современными МЭМС-технологиями как надежное решение задач ориентации и навигации
Юрий Пономарёв, к. т. н. yu.ponomarev@tellur-el.ru Антон Комяков a.komyakov@tellur-el.ru
Р
ешение указанных задач осложняется неидеальностью датчиков и системы в целом, что приводит к возникновению ошибок, имеющих различный характер, в том числе ошибок, вызванных внешними воздействиями — вибрациями из-за двигателей и исполнительных механизмов или из-за взаимодействия с окружающей средой, изменениями температуры, длительными ускорениями и магнитными возмущениями. Последние достижения в области технологии микроэлектромеханических систем (MEMS) позволяют разработать недорогие (<$1–100 за ось) и компактные (от <0,02–0,2 см3) акселерометры и гироско-
Рис. 1. Линейка модулей MTi
Инерциальные датчики и системы на их базе являются ключевым элементом при решении задач управления, стабилизации, навигации и отслеживания движения воздушных, наземных, морских и подземных объектов. Бесплатформенная инерциальная навигационная система, или система ориентации, состоит из инерциального измерительного блока (IMU), предоставляющего первичные данные об угловой скорости и линейном ускорении, и блока обработки информации с датчиков, где происходит интегрирование, преобразование и обработка данных с последующей выдачей информации об углах ориентации, скорости или положении, которые требуются для построения системы управления подвижным объектом.
пы. Ошибки, присущие этим компонентам, существенно затрудняют построение навигационных систем с использованием IMU только на основе MEMS-датчиков для упомянутых применений. Для увеличения точности и надежности оценки отслеживания чрезвычайно важно, чтобы сигналы IMU на основе MEMS комбинировались с измерениями от вспомогательных датчиков, таких как магнитометр, барометрический высотомер и глобальная спутниковая навигационная система (GNSS), а также с моделями движения, специфичными для конкретных подвижных объектов. Компания Xsens, обладающая многолетним опытом разработки
алгоритмов, создала алгоритм слияния датчиков Xsens estimation engine (XEE), который позволяет использовать преимущества различных вспомогательных измерений датчиков и оптимально сочетать их для получения надежных оценок отслеживания. Инерциальные модули Xsens имеют название MTi и удовлетворяют требованиям, существующим в различных областях применения. На рис. 1 изображена линейка модулей MTi, а в таблице 1 представлен перечень моделей в каждой из линеек с указанием функционала. Основой модуля является инерциальный измерительный блок IMU на основе MEMS-датчиков, объединенный с триадой магнитометров в легком (11 г для OEM-версии) миниатюрном (<15 см3) корпусе с энергопотреблением 480–650 мВт (для MTi 100‑й серии). Конструкция модуля и датчиков в сочетании с алгоритмами обработки сигналов обеспечивает устойчивость к вибрациям в диапазоне как минимум до 400 Гц (для MTi 100‑й серии), а также выдачу данных с высокой частотой (2 кГц) и низкой задержкой передачи данных (<2 мс). Серия продуктов MTi разработана с учетом требований, диктуемых существующими применениями. В рамках каждой серии MTi компания Xsens предлагает несколько вариантов продукции. Каждый вариант предоставляет раз-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
41
Таблица 1. Перечень моделей в каждой из линеек модулей MTi с указанием функционала
Рис. 2. Пояснение классификации модулей MTi
личные функциональные возможности. На рис. 2 и в таблице 1 пояснена классификация модулей MTi по функционалу. Блок чувствительных элементов IMU представляет собой инерциальный измерительный блок, который выдает калиброванную информацию о линейных ускорениях, угловых скоростях поворота, данных о величине магнитного поля по трем осям и барометрического давления (для некоторых вариантов модулей). IMU не предоставляет функцию слияния данных от датчиков для получения оценок по углам ориентации, но имеет возможность вывода данных в виде приращений по углам ∆q и приращений по скорости ∆v. Гирогоризонт (VRU) позволяет применять алгоритмы, которые используют направление вектора ускорения свободного падения в качестве источника дополнительной информации для расчета и коррекции углов крена и тангажа. По сути, он предоставляет те же данные, что и курсовертикаль (AHRS), за исключением угла рыскания. Оценка угла рыскания в гирогоризонте не имеет привязки к направлению на север, что означает, что угол вычисляется без какой-либо географической/магнитной привязки и коррекции, а лишь за счет интегрирования показаний с гироскопов с возможностью использования функции активной стабилизации по курсу для уменьшения его дрейфа. Для VRU доступен весь функционал IMU. Курсовертикаль (AHRS) схожа по функционалу с гирогоризонтом и выдает углы крена, тангажа и рыскания с привязкой к направлению на север за счет использования магнитометров. Для AHRS доступен весь функционал VRU и IMU. Инерциальная навигационная система комплексирована с информацией от спутниковой навигационной системы (GNSS/INS), в том числе с поддержкой кинематики в реальном времени (RTK) для получения сантиметровой точности определения координат. Такие варианты модулей имеют барометр и приемник сигналов GNSS и поправок с базовых станций для увеличения точности методом кинематики в реальном времени (RTK). Они выдают информацию об углах крена, тангажа и рыскания с привязкой к направлению на север, а также информацию о линейном положении объекта и его линейной скорости. Данные модули предоставляют доступ к функционалу IMU, VRU и AHRS. Каждая из серий модулей MTi отличается друг от друга такими характеристиками, как точность выдаваемой информации об углах ориентации и координатах, габаритами, вариантом исполнения, набором доступных интерфейсов и другими эксплуатационными параметрами. В таблице 2 приведены данные по всем вариантам инерциальных модулей с основными точностными характеристиками, в таблице 3 представлены массовые и геометрические характеристики модулей MTi, в таблицах 4–6 сведены данные о характеристиках гироскопов, акселерометров, барометров, магнитометров, входящих в состав модулей, а также характеристики GNSS-приемников, в таблице 7 приведены эксплуатационные характеристики модулей. Модули серии MTi 1‑series — это самые маленькие (12,112,1 мм), легкие (<1 г) и наиболее экономичные варианты модулей Xsens в форм-факторе для поверхностного монтажа (SMD), совместимые
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Модель
MTi 1-series
MTi 600-series
MTi 10-series
MTi 100-series
IMU VRU
MTi-1 IMU MTi-2 VRU
MTi-610 IMU MTi-620 VRU MTi-630 AHRS MTi-630R AHRS MTi-670 GNSS/INS MTi-670G GNSS/INS MTi-680 RTK GNSS/INS MTi-680G RTK GNSS/INS
MTi-10 IMU MTi-20 VRU
MTi-100 IMU MTi-200 VRU
MTi-30 AHRS
MTi-300 AHRS
–
MTi-G-710 GNSS/INS
–
–
AHRS
MTi-3 AHRS
GNSS/INS
MTi-7 GNSS/INS
GNSS/INS (RTK)
–
со стандартом JEDEC PLCC‑28 и выпускаемые крупными партиями для серийного потребления в большом объеме. Модули серии MTi 600‑series с классом пылевлагозащиты IP51 спроектированы как экономичный и простой в интеграции OEMкомпонент с малой массой и габаритами. Модуль можно устанавливать двумя способами: либо кверху ногами непосредственно к ответному разъему на печатной плате, либо установить отдельно, присоединив шлейфом к ответному разъему на печатной плате. Варианты модулей MTI‑630R, MTI‑670G и MTI‑680G с классом пылевлагозащиты IP68 имеют прочный алюминиевый корпус, а модели MTI‑670G и MTI‑680G оснащены встроенным приемником GNSS. Еще одна особенность всей серии MTi 600‑series — наличие интерфейса CAN. Модули серии MTi 10‑series представляют собой вариант инерциального модуля по доступной цене. Они оснащены прочными корпусами из анодированного алюминия и прочными и надежными разъемами push-pull. Серию MTi‑10 легко узнать по алюминиевому серебристому основанию. Модули серии MTI 100-series — топовый вариант модулей MTi в прочных алюминиевых корпусах, точность которых превосходит обычные модули на базе MEMS-датчиков благодаря использованию высокоточных гироскопов и уникального оптимизационного фильтра, чьи возможности выходят за рамки стандартных расширенных реализаций фильтра Калмана. Кроме того, производится более точная заводская калибровка, с высокой воспроизводимостью и надежностью. MTi 100‑й серии можно узнать по темно-серому или черному основанию и небольшим отверстиям для барометра на боковой стороне корпуса. MTi-G‑710 оснащен дополнительным разъемом SMA для подключения антенны GNSS. Таблица 2. Основные точностные характеристики модулей MTi Крен/Тангаж Модуль статическая
Курс
Алгоритмы обработки
Координаты и скорость
– AHS 2° 1,5°
– XKF XKF XKF
– – – 1 м; 0,05 м/с
– AHS 1° 1° 0,8° 0,8° 0,5° 0,5°
– XKF XKF XKF XKF XKF XKF XKF
– – – – 1 м; 0,05 м/с 1 м; 0,05 м/с 1 cм; 0,05м/с 1 cм; 0,05 м/с
1°
XKF
–
– AHS 1° 0,8°
– XEE XEE XEE
– – – 1 м; 0,05 м/с
динамическая
MTi 1-series (1-я серия) MTi-1 IMU MTi-2 VRU MTi-3 AHRS MTi-7 GNSS/INS
– 0,5° 0,5° 0,5°
MTi-610 IMU MTi-620 VRU MTi-630 AHRS MTi-630R AHRS MTi-670 GNSS/INS MTi-670G GNSS/INS MTi-680 RTK-GNSS/INS MTi-680G RTK-GNSS/INS
– 0,2° 0,2° 0,2° 0,2° 0,2° 0,2° 0,2°
MTi-30 AHRS
0,2°
– 0,8° 0,8° 0,5°
MTi 600-series (600-я серия) – 0,25° 0,25° 0,25° 0,25° 0,25° 0,25° 0,25° MTi 10-series (10-я серия) 0,5°
MTi 100-series (100-я серия) MTi-100 IMU MTi-200 VRU MTi-300 AHRS MTi-G-710 GNSS/INS
– 0,2° 0,2° 0,2°
– 0,3° 0,3° 0,3°
Примечание. AHS — Active Heading Stabilization (активная стабилизация по курсу).
www.kite.ru
датчики
42
Таблица 3. Массовые и геометрические характеристики модулей MTi MTi 1-series
MTi 600-series
MTi 10/100-series
MTi-G-710
Без корпуса, OEM
Пластиковый корпус, OEM
MTi-6xxR, Корпусированный
MTi-6xxG, Корпусированный
Корпусированный
OEM
Корпусированный
12,1×12,1×2,55 мм
31,5×28×13 мм
56,5×40,9×24,75 мм, 75 г
56,5×40,9×36,75 мм, 98 г
57×42×23,5 мм, 52 г
37×33×12 мм, 11 г
57×42×23,5 мм, 55 г
12-контактный разъем
12-контактный разъем, 4-контактный разъем
9-контактный разъем
16-контактный разъем
9-контактный разъем
под пайку
16-контактный разъем
Отладочный набор
Отладочный набор
Отладочный набор
Состав*: модуль MTi на отладочной плате, приемник и антенна, USB-кабель, ПО
Состав*: модуль MTi, отладочная плата, приемник и антенна, кабель с USB-переходником, шлейф, ПО
Состав*: модуль MTi, антенна, USB-кабель CA-USB-MTI, ПО
Примечание. *Состав отладочного набора определяется типом модуля.
Таблица 4. Технические характеристики гироскопов и акселерометров, входящих в состав модулей MTi MTi 1-series
MTi 600-series
MTi 10-series
MTi 100-series
Характеристики Диапазон измерений* Cмещение нуля Стабильность нуля в запуске Полоса пропускания (3 дБ) Плотность шума Погрешность от g (с калибровкой) Неортогональность Нелинейность (от полного диапазона)
Гироскопы
Акселерометры
Гироскопы
Акселерометры
Гироскопы
Акселерометры
Гироскопы
Акселерометры
2000 °/с – 10 °/ч 230 Гц 0,003 °/с/√Гц 0,001 °/с/g 0,05° 0,1%
160 м/с2 – 30 мкg 230 Гц 70 мкg/√Гц – 0,05° 0,5%
2000 °/с – 8 °/ч 520 Гц 0,007 °/с/√Гц 0,001 °/с/g 0,05° 0,1%
100 м/с2 – 10 мкg 500 Гц 60 мкg/√Гц – 0,05° 0,1%
450 °/с 0,2 °/с 18 °/ч 415 Гц 0,03 °/с/√Гц 0,006 °/с/g 0,05° 0,03%
200 м/с2 0,05 м/с2 15 мкg 375 Гц 60 мкg/√Гц – 0,05° 0,1%
450 °/с 0,2 °/с 10 °/ч 415 Гц 0,01 °/с/√Гц 0,003 °/с/g 0,05° 0,01%
200 м/с2 0,05 м/с2 15 мкg 375 Гц 60 мкg/√Гц – 0,05° 0,1%
Примечание. *Возможны нестандартные диапазоны, по запросу.
Все серии модулей MTi поставляются с отладочным программным обеспечением MT Software Suite, которое содержит специально разработанный и простой в применении графический пользовательский интерфейс, а также драйверы для различных операционных систем и позволяет быстро начать работу с модулем. Помимо этого, ПО предлагает множество других полезных инструментов, примеры исходного кода и документацию. В завершение описания модулей Xsens хотелось бы немного подробней коснуться областей применения этих устройств (рис. 3–6), так как их количество растет с каждым годом.
Таблица 5. Технические характеристики магнитометров и барометров, входящих в состав модулей MTi MTi 1-series
MTi 600-series
MTi 10-series
MTi 100-series
Характеристики Диапазон измерений Шум (с. к. з.) Нелинейность (от полного диапазона) Разрешающая способность
Магнитометр
Магнитометр
Барометр
Магнитометр
Магнитометр
Барометр
±8 Гс
±8 Гс
300–1100 гПа
±8 Гс
±8 Гс
300–1100 гПа
0,5 мГс
1 мГс
1,2 Па
0,5 мГс
0,5 мГс
3,6 Па
0,2%
0,2%
–
0,2%
0,2%
–
0,25 мГс
0,25 мГс
5 Па
0,25 мГс
0,25 мГс
8 см (на уровне моря, +15 °С)
В настоящее время проводится много исследований и разработок в сфере автономных наземных транспортных средств и систем помощи водителю. Причем список применений обширен: начиная от автономных
грузовиков и легковых автомобилей и поездов до мобильных роботов и автоматизированных систем для 3D-съемки. Особо следует выделить решения для складских помещений и логистики, в которых взят курс
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
Таблица 6. Технические характеристики GNSS-приемников, входящих в состав модулей MTi
Таблица 7. Эксплуатационные характеристики модулей MTi Характеристики
Тип приемника Датум Частота обновления Погрешность определения координат в горизонте Погрешность по скорости Время готовности (холодный старт)
MTi-G-710 GNSS
MTi-680(G) RTK-GNSS/INS MTi-670(G) GNSS/INS
72 channel, GPS/QZSS L1 C/A, GLONASS L10F, BeiDou B1, SBAS L1 C/A : WAAS, EGNOS, MSAS
184 channel, GPS L1C/A L2C, GLO L1OF L2OF, GAL E1B/C E5b, BDS B1l B2l, QZSS L1C/A L2C
WGS84
WGS84
4 Гц
4 Гц
Autonomous: 2,5 м CEP SBAS: 2 CEP 0,05 м/с
0,05 м/с
26 с
24 с
на максимальную автоматизацию и переход к «Индустрии 4.0», где отслеживание движения играет важную роль. Уже сейчас здесь используется робототехника, автономные транспортные средства, сенсорные технологии и «Интернет вещей» (IoT). Устройства, предлагаемые компанией Xsens, могут быть использованы в любой из этих областей, поскольку удовлетворяют всем специфическим требованиям при решении задач ориентации и навигации для объектов вне и внутри помещения, а также под землей. Например, гирогоризонт (VRU) обеспечивает вывод данных по крену, тангажу и курсу без привязки к магнитному полю Земли, что делает его оптимальным решением для использования в условиях с высокими магнитными возмущениями в закрытых помещениях и подземных складах. Или, например, модули MTi 600‑й серии, поддерживающие интерфейс CAN, а также оснащенные приемником GNSS, что делает их незаменимыми для использования в автомобильных системах. Классическими вариантами являются авиационные и морские применения. Авиационные применения включают системы управления беспилотными дронами, например для обследования территории или перемещения грузов, а также системы стабилизации камер, в том числе для киноиндустрии. В морских применениях базовыми являются задачи, связанные с управлением движением морских судов и беспилотных дронов, причем на территории портов это становится особо сложной задачей из-за необходимости учитывать большое количество различных факторов, включая сильно изменяющееся движение воды и воздуха. Перечень задач для морских применений, где требуется получать данные об углах ориентации и координатах объекта, весьма обширен и не ограничивается указанными примерами: сюда можно отнести и управление автономными подводными аппаратами, и решение задачи поддержания положения судна в условиях течения, и задачу стабилизации углового положения платформы для камер
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
MTi 1-series
MTi 600-series
MTi 600-series (6xxR/G)
Напряжение питания
2,19–3,6 В
4,5–24 В
Потребляемая мощность
<100 мВт (при 3 В)
<1 Вт (тип.)
Класс защиты IP
IP00
IP51
Диапазон рабочих температур Вибрация и удары Материал корпуса Частота выдачи информации Запаздывание
PVT: 1,5 м CEP RTK: 1 cм CEP
43
Интерфейсы Опции синхронизации Протоколы
MTi 10-series
MTi 100-series
4,5–34 В или 3,3 В 550 мВт (тип., при 5 В) IP68
660 мВт (тип., при 5 В)
IP67 (для корпусированной версии)
–40…+85 °С –
–
–
MIL-STD-202201A/204C/214A
–
нет
PC-ABS
до 800 Гц
1 кГц, 400 Гц SDI
2 кГц, 400 Гц SDI
–
–
–
< 2 мс
I2C/SPI/UART
CAN/RS232/UART
CAN/RS232
RS232/RS422/RS485/UART/USB
XBus, ASCII (NMEA), CAN
XBus, ASCII (NMEA), CAN
SyncIn, PPS XBus, NMEAin
анодированный алюминий до 2 кГц
SyncIn, SyncOut, Clock sync
или антенн, и определение направления течения на поверхности и на глубине, и картографирование морского дна с высокой степенью детализации, и системы наблюдения в порту и на судах. Для всех указанных задач надежность и точность имеют первостепенное значение, но помимо этого, как правило, существуют требования по низкому энергопотреблению, высокоскоростной обработке и выдаче информации, малой массе и габаритам. Надежное аппаратное и программное обеспечение модулей MTi наряду с фильтрами, обеспечивающими защиту от магнитных искажений, и широкий выбор вариантов модулей по эксплуатационным характеристикам делают решения от Xsens более чем подходящими для указанных применений. В дополнение к упомянутым применениям, где могут эксплуатироваться инерциальные модули компании Xsens, следует упомянуть такие сферы, как сельское и лесное хозяйство, автомобильные испытания, добыча полезных ископаемых, цифровое картографирование и геодезия. Благодаря богатому функционалу различных серий модулей MTi, их точностным и эксплуатационным характеристикам, решения компании Xsens перекрывают большое количество требований в той или иной области применения. А наличие удобного программного обеспечения и квалифицированная техническая поддержка позволяют инженерам-разработчикам получить надежное решение с минимальными затратами времени и ресурсов на интеграцию модулей MTi в разрабатываемую систему. n
Литература 1. Vydhyanathan A., Bellusci G. The Next Generation Xsens Motion Trackers for Industrial Applications. Xsens White Paper, 2018. 2. Пономарёв Ю. А. Инерциальные модули компании Xsens: объединение последних достижений науки в миниатюрном формате // Компоненты и технологии 2017. № 7. 3. IEEE Standard for inertial systems terminology, IEEEStd 1559-2009. 2009. 4. www.base.xsens.com/s/?language=en_US 5. www.xsens.com
XBus или ASCII (NMEA)
Рис. 3. Вариант применения модулей Xsens в беспилотной сельскохозяйственной технике
Рис. 4. Вариант применения модулей Xsens в беспилотных летательных аппаратах
Рис. 5. Вариант применения модулей Xsens в морской тематике
Рис. 6. Вариант применения модулей Xsens для подводных аппаратов
www.kite.ru
датчики
44
Гироскопы в прецизионных навигационных системах: какую технологию выбрать?
Крис Гудалл (Chris Goodall) Сара Кармайкл (Sarah Carmichael) Боб Цаннелл (Bob Scannell) Перевод: Михаил Русских tau68@rambler.ru
Введение В последние несколько лет в области разработки навигационных систем наблюдается рост популярности МЭМС-гироскопов благодаря их меньшей погрешности измерения, высокой стабильности характеристик при изменении условий окружающей среды, более широкой полосе, низкой чувствительности к линейному ускорению (g‑чувствительности) и встроенным вычислительным функциям, с помощью которых можно реализовать алгоритмы обобщения данных и моделирования ошибок датчиков. Сегодня все больше расширяется сфера применения прецизионных точных инерциальных навигационных систем (ИНС), при этом МЭМС-устройства также успешно захватывают рынки, на которых ранее доминировали ВОГ. В области систем стабилизации антенных решеток переход от технологии ВОГ к технологии МЭМС становится наиболее очевидным. Применение МЭМС-гироскопов в системах управления машинами и механизмами также может дать ряд преимуществ. Традиционно пользователи предпочитали навигационные системы на основе ВОГ или КЛГ стоимостью более $30 000, поскольку данные решения были в 20 раз точнее и надежнее, чем стандартные навигационные системы на основе МЭМС-гироскопов стоимостью $1000. Системы точного земледелия, а также различные системы наземного
У волоконно-оптических гироскопов (ВОГ), которые раньше были недорогими аналогами гироскопов других типов, в частности кольцевых лазерных гироскопов (КЛГ), появился новый конкурент — гироскопы на основе микроэлектромеханических систем (МЭМС), постепенно отнимающие долю рынка у традиционных ВОГ. Сегодня полем битвы между МЭМС-гироскопами и ВОГ стали такие области применения, как системы стабилизации антенных решеток, системы управления сельскохозяйственными машинами, а также системы навигации гражданских транспортных средств. Для того чтобы выявить схожие черты между обеими технологиями при их эксплуатации в навигационных системах, было выполнено сравнение высококачественных МЭМС-гироскопов с бюджетными ВОГ. Навигационное программное обеспечение и соответствующие испытания позволили получить результаты, которые дали возможность провести анализ и выяснить, действительно ли МЭМС-гироскопы можно устанавливать в навигационных системах тактического уровня.
и воздушного беспилотного транспорта — две показательные области, где применение навигационных систем на основе недорогих МЭМС-гироскопов даст значительные преимущества.
Навигационное оборудование, работающее в режиме реального времени Система навигации, описываемая в данном материале, была разработана с целью получения данных о пространственном положении с высокой скоростью, передающихся в электропривод, который в свою очередь стабилизировал установленную на крыше транспортного средства антенную решетку. Задачей антенной решетки являлось поддержание связи с геостационарным спутником. Эта навигационная система использовалась в качестве бесплатформенного навигатора на основе ИНС/GNSS, обеспечивающего быструю передачу информации о положении и скорости. Сведения, полученные от инерциального измерительного модуля, поступали в навигационный фильтр с частотой 1000 Гц, и эти пакеты данных предназначались для прогнозирования местоположения, скорости и пространственной ориентации. Данные от приемника GNSS о координатах, скорости и направлении, полученные от сдвоенных антенн, использовались в качестве обновляемых значений для навигационного фильтра. Когда данные
от приемника GNSS не были доступны, для определения курса (направления движения) применялся магнитометр, а для определения высоты — барометр. Параллельно с работой навигационного фильтра выполнялись специальные процедуры калибровки. С помощью этих процедур осуществлялась калибровка магнитометра, калибровка смещения положения сдвоенной антенны, калибровка смещения положения инерциального измерительного модуля и калибровка уровня вибрации транспортного средства с целью определения статического периода. Система была создана для работы с двумя вариантами аппаратной реализации. Первая реализация состояла из двух ВОГ (для определения тангажа и курса), одного МЭМСгироскопа (для определения крена), трехосевого МЭМС-акселерометра, трехосевого МЭМС-магнитометра и МЭМС-барометра, при этом общая стоимость сенсорного оборудования составила примерно $8000. Вторая реализация содержала три МЭМСгироскопа (для определения всех углов пространственной ориентации), а также трехосевой МЭМС-акселерометр, трехосевой МЭМС-магнитометр и МЭМС-барометр, предусмотренные и в предыдущей реализации, при этом общая стоимость оборудования составила около $1000. Цены на эти системы могут колебаться в зависимости от рыночных условий и объемов закупок, но, как правило, системы на основе ВОГ
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
45
Таблица 1. Характеристики инерциального измерительного модуля на основе МЭМС (ADIS16485) Параметр
Гироскопы
Акселерометры
Значение
Полоса пропускания
330 Гц
Нестабильность смещения
6,25°/ч
Случайное блуждание угловой скорости Чувствительность к линейному ускорению
0,3°/√ч 0,009°/с/g
Полоса пропускания
330 Гц
Нестабильность смещения
32 мкg
Случайное блуждание скорости
0,023 м/с/√ч
Таблица 2. Характеристики ВОГ (uFors‑6U) Параметр
Рис. 1. Блок-схема инерциального измерительного модуля (ADIS16485)
в 8–10 раз дороже, чем системы на основе МЭМС. МЭМС-гироскопы и МЭМС-акселерометры, используемые в данной системе, отличаются очень высокой стабильностью смещения, ортогональностью, низкой чувствительностью к линейному ускорению и широкой полосой пропускания в рамках своего ценового сегмента. Основное ограничение этой системы — требование к обеспечению широкой полосы пропускания. Многие МЭМС-акселерометры имеют широкую полосу пропускания, но у МЭМС-гироскопов, как правило, полоса пропускания составляет 100 Гц или меньше. Такие показатели оптимальны для применения в стандартном автомобильном навигационном оборудовании, но оборудование, для которого была разработана эта система, должно управлять антенной решеткой с высокой скоростью. Кроме того, некоторые МЭМС-гироскопы обеспечивают хорошую стабильность смещения, но имеют узкую полосу пропускания или высокий уровень шума. МЭМСгироскопы, используемые в этой системе, имеют сбалансированное соотношение между шириной полосы пропускания и рабочими характеристиками. Фактические характеристики выбранного МЭМС-устройства представлены в таблице 1. Скорость распространения инерциальных МЭМС-устройств неуклонно растет. В результате в развитие данной технологии были вложены значительные средства. В основе МЭМС-гироскопов, используемых в такой системе, лежит многоядерная архитектура, которая обеспечивает оптимизированный баланс между стабильностью, шумовыми характеристиками, линейностью и чувствительностью к линейному ускорению. Эта архитектура сочетает полностью дифференциальные счетверенные резонаторы со встроенной высококачественной схемой согласования сигналов, в результате чего требуемый диапазон отклика резонатора лежит в характеризующейся высокой линей-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
ностью области, при этом также обеспечивается высокая степень подавления вибраций. В многоосевых инерциальных измерительных модулях (рис. 1) со встроенными МЭМС-гироскопами и МЭМС-акселерометрами потенциально доминирующим источником ошибок становится ортогональность датчиков по осям X, Y, Z. Обычно этот параметр обозначается либо как чувствительность по поперечной оси, либо как угловое отклонение. Довольно часто в технической документации на различные МЭМС-компоненты можно увидеть значение чувствительности по поперечной оси, равное ±2%. Инерциальный измерительный модуль, используемый в этой системе, имеет чувствительность по поперечной оси, равную 0,087% (ортогональность 0,05°). Что еще более важно, эта характеристика остается постоянной при изменении температуры благодаря специальной калибровке устройства, выполняемой на фабрике. Для заданной скорости вращения, например по оси рыскания, скорость по ортогональным осям должна определяться как произведение чувствительности по поперечной оси на скорость по оси рыcкания, даже когда отсутствует вращение по осям крена и тангажа. При погрешности по поперечной оси, равной 2%, как правило, суммарный внеосевой шум на порядок превышает собственный шум гироскопа, но чувствительность инерциального измерительного модуля, составляющая 0,087%, в данном случае оптимально сбалансирована с собственным уровнем шума гироскопа. В многоосевых устройствах не менее важны такие параметры, как доступная полоса пропускания и связанная с ней возможность согласования фаз по осям. Некоторые гироскопы имеют ограниченную полосу пропускания, обусловленную необходимостью подавления суммарного шума, в то время как другие имеют ограниченную полосу пропускания (обычно ниже 100 Гц) из-за встроенных схем обработки сигналов, используемых в контуре обратной связи. Это может приве-
Гироскопы
Ширина полосы пропускания Нестабильность смещения Случайное блуждание угловой скорости
Значение 1000 Гц 3°/ч 0,1°/√ч
сти к появлению дополнительных фазовых ошибок, возникающих в тракте прохождения сигнала, особенно в фильтре Калмана. Используемый в данном случае инерциальный измерительный модуль, имеющий полосу пропускания 330 Гц и настраиваемую систему фильтрации, позволяет минимизировать влияние источников ошибок и оптимизировать системные ошибки с помощью встроенных функций фильтрации даже в полевых условиях. Основные датчики, предусмотренные в таком инерциальном измерительном модуле, характеризуются высоким качеством подавления сигналов вибрации и высокой линейностью, что делает их не только подходящими для применения в высокодинамичных системах, но и высоконадежными и предсказуемыми при работе в экстремальных условиях окружающей среды. ВОГ, используемые в данной системе, были выбраны на основе сочетания цены, рабочих характеристик и размеров. Полоса пропускания, стабильность смещения и уровень шума этих ВОГ стали определяющими факторами при окончательном выборе датчиков. Их основные характеристики приведены в таблице 2. У ВОГ по сравнению с МЭМС-гироскопом меньшая нестабильность смещения и меньшая величина случайного блуждания угловой скорости. Программное обеспечение для навигации в реальном времени обрабатывало данные системы с частотой 1000 Гц и функционировало на основе классического механизма бесплатформенной инерциальной навигационной системы (БИНС) с обновлениями значений измерений. Обновленные значения измерений поступали от различных источников, и к этим обновляемым параметрам относятся: • данные о положении и скорости, получаемые от приемника GNSS; • обновленные данные о направлении движения, получаемые от сдвоенной антенны; • обновленные данные о направлении движения, получаемые от магнетометра; www.kite.ru
46
датчики
• обновленные данные о высоте, получаемые от барометра; • дополнительные обновленные данные о скорости, получаемые от системы диагностики автомобиля OBDII. Каждое обновленное значение использовалось для коррекции отклонения траектории решения на основе ИНС, но передача самих обновляемых значений могла прерываться, или они могли быть неточными. Обновленные данные о направлении от сдвоенной антенны характеризуются высокой точностью, но подвержены влиянию многолучевого распространения. Таким образом, обновленные данные о направлении от сдвоенной антенны могут быть надежными только при работе системы на открытом пространстве. То же самое справедливо и для данных о положении и скорости, получаемых от приемника GNSS, использующего систему дифференциальной коррекции. Данные о направлении, поступающие от магнитометра, могут быть неточными из-за больших углов наклона, возникающих из-за плохой вертикальной наблюдаемости во время калибровки. Точность магнитометров также может ухудшаться при работе вблизи других металлических объектов — например, при движении рядом с другими транспортными средствами. Таким образом, магнитометр использовался для того, чтобы предоставлять данные системе в те моменты, когда данные от приемника GNSS были недоступны, или для того, чтобы уменьшить отклонение от действительной траектории во время очень длительных периодов простоя приемника GNSS (например, в течение 20 мин). Для определения высоты в случаях, когда данные от приемника GNSS были недоступны или неточны, использовался барометр. Чтобы не допустить отклонения по скорости при отсутствии обновленных данных от GNSS, особенно при прямом движении вперед, использовались обновленные значения скорости, которые также повысили точность определения положения данного
решения, что в свою очередь позволило отметать неточные обновленные данные о местоположении от приемника GNSS. Это навигационное программное обеспечение было создано для обеспечения точных результатов в любых условиях работы приемника GNSS.
Испытания навигационной системы Для сравнения обеих реализаций навигационной системы были разработаны три метода испытаний на уровне системы: • Испытание на открытом пространстве с хорошим сигналом GNSS для оценки точности данных по осям крена и тангажа, а также данных о курсе. • Испытание, при котором возможно многолучевое распространение сигналов GNSS, например в условиях плотной застройки в центре города, где приемник GNSS может выдавать неточные данные из-за высоких зданий. Цель этого испытания — сравнение отфильтрованных данных о положении, которые также предоставят информацию о погрешностях измерения углового пространственного положения и скорости. • Испытание работы только ИНС для оценки дрейфа этой системы при определении положения, что также даст информацию о скорости и угловом пространственном положении.
Результаты испытания на открытом пространстве При условии доступности данных GPS и прямой видимости системой нескольких спутников результаты позиционирования и измерения скорости были сопоставимы между обеими системами. Параметры углового пространственного положения — крен, тангаж и курс — были основными параметрами для анализа качества функционирования навигационной системы, поскольку они в значительной степени определяются рабочими характеристиками гироскопа (табл. 3).
Рис. 2. Результаты определения местоположения, предоставляемые решением на основе GPS с многолучевым распространением
Таблица 3. Результаты испытания на открытом пространстве Ср.-кв. погрешность измерения
ВОГ
МЭМС
Крена Тангажа Курса
0,08° 0,08° 0,13°
0,1° 0,1° 0,14°
Ухудшение результатов определения местоположения от GNSS Следующее испытание было предназначено для сравнения качества работы двух систем при многолучевом распространении сигналов GNSS. Автомобиль перемещался по центру Калгари, где было несколько очень узких переулков, при этом автомобиль двигался медленно в потоке машин и в окружении высоких зданий. Теперь к основным параметрам для анализа качества работы навигационной системы относятся и результаты определения местоположения, поскольку гироскопы могут существенно корректировать данные о местоположении при отсутствии качественных данных от приемника GNSS. Результаты испытания показывают, что две системы выдают более-менее одинаковые показания, хотя система на основе ВОГ была примерно на 20–30% точнее. На рис. 2 показана траектория движения системы только с использованием данных от GPS. На работу высокоточного приемника GPS, применяемого в рамках этого испытания, влияли многочисленные отраженные сигналы при движении в плотной городской застройке в центре города. Решение на основе GPS имело погрешность определения местоположения до 100 м. Траектория движения решения с интегрированным ВОГ, показанная красным цветом (рис. 3), четко отображает путь, пройденный автомобилем в центре города, с точностью до 10 м или выше. Точность позиционирования решения на основе МЭМС-компонентов с траектори-
Рис. 3. Траектория движения решения на основе ВОГ и GPS (красным цветом показана траектория с работающими ВОГ и приемником GPS, синим цветом — только с работающим приемником GPS)
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
датчики
Рис. 4. Траектория движения решения на основе МЭМС и GPS (зеленым цветом показана траектория с работающими МЭМС и приемником GPS, синим цветом — только с работающим приемником GPS)
47
Рис. 5. Траектория движения решения на основе МЭМС, GPS и OBDII (зеленым цветом показана траектория с работающими МЭМС, приемником GPS и системой OBDII, синим цветом — только с работающим приемником GPS)
ей, показанной на рис. 4 зеленым цветом, всегда находится в пределах 15 м. Формирование результатов измерений этого решения было более «затянутым» из-за неточных обновленных данных о местоположении от GNSS вследствие меньшей значимости прогнозных значений, поступающих от ИНС. Чтобы помочь решению на основе МЭМС в работе с неточными обновляемыми данными от приемника GPS, были использованы дополнительные датчики. На рис. 5 показана траектория с применением дополнительных данных от диагностической системы автомобиля OBDII, которая позволяет определять скорость автомобиля. Точность решения на основе МЭМС всегда находится в пределах 10 м и может быть даже немного выше точности системы на основе ВОГ без использования OBDII, как показано в увеличенном масштабе на рис. 6.
Результаты, предоставляемые решением только с работающей ИНС: пример и контрольные показатели Последнее испытание — сравнение качества действия двух решений только с работающими ИНС. При этом также использовались обновляемые данные от приемника GNSS при работе на открытом пространстве. Во время испытания антенна, принимающая данные от спутников, была отключена в обоих случаях на 4,5 мин, в результате были получены смещенные траектории движения, по которым можно судить о качестве работы навигационной системы. Пройденное расстояние за это время составило примерно 5500 м. На рис. 7 показана вся траектория. Глядя на прямую синюю линию, можно понять, когда был отключен приемник GPS (в правом нижнем углу) и когда он был снова включен (в левом верхнем углу).
Рис. 7. Траектория при работе одной лишь ИНС
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Рис. 6. Траектория системы на основе МЭМС с OBDII (показана зеленым цветом), траектория системы на основе ВОГ без OBDII (показана красным цветом) и траектория системы только с работающим приемником GPS (показана синим цветом)
Как видно на рис. 8, в этот период с отключенным приемником GNSS система на основе ВОГ работала очень хорошо и максимальное отклонение траектории составило 7 м. Типичное отклонение системы на основе ВОГ после 5 мин работы составило 25 м, поэтому конкретный «уход» от действительного местоположения был немного лучше, чем типичное отклонение. Отклонение для системы на основе МЭМС составило 75 м после 4,5 мин без поступления обновленных данных от GNSS (рис. 9). В основном такое отклонение обусловливается линейным отклонением от курса, которое проявляется при работе акселерометров. После 5 мин без поступления обновленных данных от GNSS откло-
Рис. 8. Отклонение траектории системы на основе ВОГ
www.kite.ru
датчики
48
Рис. 9. Отклонение траектории системы на основе МЭМС
нение для системы на основе МЭМС составило 75 м, что примерно в 3 раза больше, чем в случае с системой на основе ВОГ. Для повышения точности системы на основе МЭМС была подключена диагностическая система OBDII, при этом отклонение от действительной траектории составило менее 10 м, что эквивалентно точности решения на основе ВОГ. Типичное отклонение для системы на основе МЭМС с OBDII составило примерно 30 м после 5 мин без поступления обновленных данных от GNSS (рис. 10), что также эквивалентно результатам, полученным при испытании системы на основе ВОГ.
Заключение Борьба между МЭМС-гироскопами и волоконно-оптическими гироскопами ведется очень напряженно, особенно сейчас, когда характеристики МЭМС-устройств приближаются к характеристикам ВОГ тактического уровня. ВОГ все еще обладают более высокой точностью, но они в 10 раз дороже, чем МЭМС-устройства. В случаях, когда доступны данные от GNSS и система должна работать на открытом пространстве, МЭМС-гироскопы могут заменить некоторые бюджетные ВОГ. В случаях, когда данные от приемника GNSS являются неточными и периодическими, то МЭМС-устройствами также можно заменить некоторые ВОГ, если допустимо снижение точности на 20–30%. При автономной работе инерциальной навигационной системы ВОГ все еще характеризуются более высокой точностью, но если в систему будут поступать также обновленные значения скорости транспортного средства или платформы, то система на основе МЭМС
новости
Рис. 10. Отклонение траектории системы на основе МЭМС с OBDII
может работать примерно с той же точностью, что и автономная система на основе ВОГ. Если учитывать последовательное развитие технологии МЭМС, конкурентоспособные цены и возможность использования дополнительных датчиков (например, датчиков системы OBDII), то можно предположить, что уже в ближайшем будущем большое количество волоконно-оптических гироскопов будет заменено МЭМС-устройствами. n
Литература 1. Gelb A. Applied Optimal Estimation. The M. I. T. Press. Massachusetts Institute of Technology. Cambridge, Massachusetts, USA, 1974. 2. Grewal M. S. Weill L. R. Andrews A. P. Global Positioning Systems, Inertial Navigation, and Integration. John Wiley and Sons, Inc., USA, 2001. 3. Groves P. D. Principles of GPS, Inertial, and Multisensor Integrated Navigation Systems. Artech House, 2008, Boston, USA. 4. Meditch J. S. Stochastic Optimal Linear Estimation and Control. McGraw-Hill, Inc., USA, 1969. 5. Niu X., Nassar S., Syed Z., Goodall C., El-Sheimy N. The Development of an Accurate MEMS-Based. 2006. 6. Inertial/GPS System for Land-Vehicle Navigation Applications. Proceedings of the ION GNSS 2006. Fort Worth, Texas, USA, September 26–29, 2006. 7. Schmidt G. T. INS/GPS Technology Trends. NATO RTO Lecture Series, RTO-EN-SET. Massachusetts, USA, 2010. 8. Skog I. Low-Cost Navigation Systems — A Study of Four Problems. Doctoral Thesis in Signal Processing. KTH Electrical Engineering. Stockholm, Sweden, 2009.
разъемы
Разъемы серии ODU MEDI-SNAP в размере 3,5 10 января 2022 года компания ODU анонсировала появление разъемов серии ODU MEDI-SNAP в размере 3,5. В настоящее время доступны два варианта контактных вставок: на 41 контакт ∅0,5 мм и гибридная, с трубкой для передачи жидкости под давлением до 4 бар включительно, и 18 сигнальных контактов ∅0,7 мм. Конструкция разъема позволяет опционально реализовывать проекты с коаксиальной либо волоконно-оптической вставкой вместо жидкостной. Разъем обеспечивает не менее 2000 циклов соединений в диапазоне температур –50…+120 °C, при уровнях IP50/IP67 в сомкнутом состоянии и имеет небольшие габариты: диаметр кабельной части 23 мм, диаметр кабеля 4,6–10,5 мм.
Новинка с Push-pull-защелкой в пластиковом корпусе из PSU обеспечивает максимальную защиту по IEC 60601-1 как для пациентов, так и для персонала: 2×MOPP, 2×MOOP. https://odu-connectors.com/
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
на правах рекламы
49
Микросхемы быстродействующих приемопередатчиков интерфейса LVDS 5560ИН11У и 5560ИН12У Планируемый срок начала освоения в серийном производстве микросхем 5560ИН11У и 5560ИН12У — первый квартал 2022 года. n Таблица 1. Электрические параметры микросхем при приемке и поставке (при UCC = 3–3,6 B)
Ток потребления, мА, активный режим, приемник не нагружен, нагрузка передатчика RL = 100 Ом
Норма параметра не менее
не более
Температура среды, °С
Наименование параметра, единица измерения, режим измерения
Буквенное обозначение параметра
М
икросхемы 5560ИН11У и 5560ИН12У категории качества «ВП» — низковольтные быстродействующие приемопередатчики интерфейса LVDS с напряжением питания 3,3 В для применения в аппаратуре специального назначения. Микросхема 5560ИН11У содержит передатчик и приемник без входов разрешения по стандарту LVDS. Микросхема 5560ИН12У содержит передатчик и приемник со встроенным терминальным резистором без входов разрешения по стандарту LVDS. Обе микросхемы изготавливаются в малогабаритных металлокерамических корпусах типа МК 5119.16‑А. Функциональными аналогами микросхем 5560ИН11У и 5560ИН12У являются соответственно микросхемы SN65LVDS179 и SN65LVDТ179 компании Texas Instruments. Технические условия — АЕЯР.431200.765-10 ТУ. Микросхемы 5560ИН11У и 5560ИН12У являются стойкими к воздействию специальных факторов 7.И, 7.С и 7.К по ГОСТ РВ 20.39.414.2 с характеристиками 7.И 1 — 4Ус, 7.И 6 — 75Ус, 7.И 7 — 5Ус, 7.С 1 — 4Ус, 7.С 4 — 74Ус, 7.К 1 — 2К, 7.К 4 — 1К, 7.К 9(7.К 10) — является нечувствительной по ОРЭ отказов (ТЭ и КО), 7.К11(7.К12) — не менее 60 МэВ·см2/мг.
IСС
–
12
25 ±10, –60, +125
–
0,4
Электрические параметры приемника Выходное напряжение низкого уровня, В при IOL = 8 мА Выходное напряжение высокого уровня, В
при IOH = –8 мА
Входной ток по входам A или B, мкА
при UI = 0
UOL UOH
при IOH = –4 мА
Входной ток при выключенном питании по входам A или B, мкА при UCC = 0 Время задержки распространения при включении/выключении, нс при CL = 10 пФ
–
2,8
–
|–2|
|–20|
|–1,2|
–
II(OFF)
–
|±20|
tPHLR/tPLHR
–
6,1
II
при UI = 2,4 В
2,4
25 ±10, –60, +125
Электрические параметры передатчика Выходное дифференциальное напряжение, мВ при RL = 100 Ом
UOD
|±247|
|±454|
Разность выходных дифференциальных напряжений, мВ при RL = 100 Ом
DUOD
–50
50
Выходное напряжение смещения относительно общего вывода, В при RL = 49,9 Ом
UOC
1,125
1,375
Разность выходных напряжений смещения относительно общего вывода, мВ при RL = 49,9 Ом
DUOC
–50
50
Размах выходного напряжения смещения относительно общего вывода при переключении, мВ при RL = 49,9 Ом; CL = 10 пФ
UOC PP
–
150
tPHLD/tPLHD
–
4,5
Время задержки распространения при включении/ выключении, нс при CL = 10 пФ; RL = 100 Ом
Рис. 1. Схема электрическая структурная микросхемы 5560ИН11У
Рис. 2. Схема электрическая структурная микросхемы 5560ИН12У
Таблица 2. Таблица истинности передатчика Входы D
ОАО «ИНТЕГРАЛ» — управляющая компания холдинга «ИНТЕГРАЛ» г. Минск, Республика Беларусь Тел.: (+375 17) 238–97–43. E‑mail: ATitov@integral.by. Сайт: integral.by
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
25 ±10, –60, +125
Таблица 3. Таблица истинности приемника Входы
Выходы Y
25 ±10, –60, +125
Выход
Y
UID = A – R
R
UID ≥ +100 мB
H
H
Н
L
L
L
Н
Открыт
L
Н
–100 мB ≤ UID ≤ +100 мB
*
UID ≤ –100 мB
L
Открыт
H
Примечания. Н — высокий уровень напряжения; L — низкий уровень напряжения; X — низкий или высокий уровень напряжения; * — неопределенное состояние.
www.kite.ru
50
компоненты
установочные и коммутационные элементы
Высоковольтные электрические соединители компании GES High Voltage для науки, робототехники и медицины
Константин Верхулевский info@icquest.ru
Введение Основанная еще в 1959 году компания GES High Voltage является в настоящее время ведущим разработчиком высококачественных электрических соединителей гражданского назначения. Компания специализируется преимущественно на производстве высоковольтных разъемов, линейка продукции включает компоненты с номинальными рейтингами напряжений до 100 кВ и токов до 80 А, а также широкий спектр комплектующих к ним [1]. Все предлагаемые изделия соответствуют требованиям, установленным международным стандартом IEC 60309 и его отечественным аналогом ГОСТ IEC 60309-1-2016 «Вилки, штепсельные розетки и соединительные устройства промышленного назначения». Для модернизации существующих моделей и производства новых типов соединителей компания постоянно работает в непосредственном контакте с потребителями. Она имеет собственный центр НИОКР, современные производственные мощности и полностью оснащенную тестовую лабораторию. Для поддержки разработчиков высоковольтного оборудования к каждому изделию прилагается полный комплект документации с рекомендациями по применению и техническому обслуживанию, а также готовые 3D-модели разъемов. По запросу заказчиков
Задача обеспечения качественного электрического соединения возникает при проектировании оборудования различного назначения во многих отраслях промышленности. Особого внимания требуют вопросы коммутации силовых линий с рабочими напряжениями, достигающими десятков киловольт. Разъемы, предназначенные для данных целей, помимо обеспечения надежного электрического контакта должны обладать повышенной прочностью изоляции, безопасностью эксплуатации и стойкостью к механическим и климатическим воздействиям. Широкую линейку высоковольтных компонентов, удовлетворяющих данным требованиям, предлагает немецкая компания GES High Voltage. В статье рассматриваются особенности одно- и многоконтактных соединителей, рекомендованных производителем для применения в разнообразных промышленных устройствах.
предоставляются образцы соединителей для проведения тестовых испытаний и практической оценки возможности их применения в своих устройствах. На протяжении многих лет серийная продукция компании успешно используется во многих областях промышленности. Среди типовых применений можно отметить оборудование для производства полупроводников, обеспечивающее процессы литографии, легирования, травления и т. д., устройства диагностики качества материалов (рентгеновские системы неразрушающего контроля, масс-спектрометры, хроматографы, электронные микроскопы), биотехнологическое лабораторное оборудование и медицинские установки (томографы, рентгеноскопы, аппараты УЗИ), аппаратуру ядерной физики (тиратроны, магнетроны, ускорители частиц, электронные пушки, фотоэлектронные умножители), а также лазеры, системы индукционного нагрева, электронно-лучевой сварки и обработки поверхностей. Помимо серийных изделий, существует возможность изготовления разъемов, разработанных в соответствии с техническими требованиями клиента. Заказная разработка включает как модификацию стандартных компонентов, так и их проектирование с нуля, полученные изделия позволяют найти оптимальное решение применительно к конкретной зада-
че и могут выпускаться даже в небольших объемах. Официальным представителем GES High Voltage в России является компания «Квест» [2]. Особенности высоковольтных разъемов GES High Voltage GES High Voltage уделяет большое внимание качеству предлагаемых компонентов. К очевидным преимуществам применения устройств компании относятся: • Повышенная надежность. Обеспечивается бескомпромиссными требованиями к качеству используемых при производстве материалов и соблюдению технологий изготовления. Конструктивно соединители выпускаются как в пластиковых, так и в металлических корпусах, предназначенных для использования совместно с неэкранированными и экранированными кабелями. Герметичные металлические разъемы с уровнем защиты вплоть до IP68 гарантируют надежное функционирование в условиях воздействия агрессивных сред. Изготавливаются из латуни, покрытой никелем, контакты имеют серебряное или золотое напыление. • Безопасность эксплуатации. Для обеспечения пожаробезопасности применяются исключительно диэлектрики со сравнительным индексом пробоя изоляции (CTI,
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
установочные и коммутационные элементы
Comparative Tracking Index) 550 или выше, такие как PTFE (политетрафторэтилен, тефлон), POM (полиоксиметилен), PBT (полибутилентерефталат) или PA6.6 (полиамид). • Жесткий выходной контроль. Вся готовая продукция подвергается ряду электрических, климатических и механических испытаний. Большая часть соединителей способна выдержать до 100 000 циклов стыковки/расстыковки без ухудшения электрических характеристик. • Малые размеры и вес. Внешние габариты во многом зависят от номинальных электрических параметров, но в ассортименте продукции присутствуют специально разработанные серии компактных разъемов, отличающихся повышенной прочностью и предназначенных для оборудования, работающего в полевых условиях. Легкие пластиковые корпуса соединителей медицинского назначения характеризуются небольшим весом и простотой монтажа. • Широкий диапазон рабочих температур. Возможность применения того или иного соединителя в расширенном диапазоне рабочих температур определяется характеристиками материала изолятора. Разъемы GES High Voltage, использующие POMизолятор, способны надежно функционировать при температурах –20…+100 °C, а соединители на основе PTFE работают в диапазоне температур –50…+200 °C. Таким образом, данные компоненты можно использовать как внутри, так и вне помещений, в том числе в суровых условиях эксплуатации.
Линейка предлагаемой продукции В настоящее время компания GES High Voltage предлагает широкий модельный ряд высоковольтных цилиндрических однои многоконтактных разъемов в различных конфигурациях, а также гибридных модульных разъемов, предназначенных для соединения, помимо высоковольтных электрических цепей, пневмоканалов и низковольтных информационных линий. Доступные серии различаются между собой электрическими параметрами, количеством контактов (1–14), материалом корпуса (пластик или металл),
компоненты
51
Таблица 1. Основные характеристики высоковольтных разъемов GES High Voltage Серия
Количество контактов
Рабочее напряжение, кВ DC
Тестовое напряжение, кВ DC
Номинальный ток, А
Класс защиты
Допустимый калибр кабеля AWG 22–14
S
1
10–40
15–60
30
IP50
100
1
10–100
15–150
30–80
IP67
AWG 22–8
MCS
2, 4 или 6
5
8
4,5
IP68
AWG 26–22
MC
2–5
10
15
13
IP60
AWG 26–14
M
2–9
12
18
30
IP54
AWG 22–14
VarioPro CL
1–3
13
20
VarioPro Basic
1–2
8
12
IP20
AWG 26–14
VarioPro SB10
1
10
15
2–14
12
18
MOD HP MOD T
классом защиты (IP20–IP68), способом подключения жилы (пайка или обжим) и т. д. Линейка стандартных изделий содержит (табл. 1): • Одноконтактные цилиндрические высоковольтные разъемы серий S и 100 с рабочими напряжениями 10–100 кВ и токами коммутации до 80 А. • Многоконтактные цилиндрические высоковольтные разъемы с различными конфигурациями выводов, предназначенные для работы с напряжениями до 12 кВ и токами до 30 А. Группа включает серии M, MC и MCS, максимальное количество контактов — 9. • Пластиковые самозащелкивающиеся высоковольтные разъемы серии VarioPro (несколько модификаций) с допустимыми рабочими напряжениями до 13 кВ и токами до 30 А. • Модульные наборные разъемы из серии MOD. Количество и тип контактов, а также внешние габариты конкретного изделия пользователь выбирает исходя из решаемой задачи. В таблице представлены исключительно высоковольтные электрические соединители. Разъемы, содержащие дополнительные вставки для организации интерфейсов связи, пневмомагистралей и т. д., будут рассмотрены отдельно. Одноконтактные цилиндрические разъемы Одноконтактные высоковольтные соединители серии S предназначены для применения в лабораторном измерительном оборудовании и способны выдерживать рабочие напряжения до 40 кВ DC и номинальные токи
а
13 30 25
IP65
30
AWG 20–12 AWG 22–14
до 30 А (до 3 кА в импульсе). Рекомендованы для использования совместно с экранированными проводами диаметром до 6,5 мм и площадью сечения центральной жилы, не превышающей 2,5 мм 2 . Выполнены в цельнометаллических никелированных корпусах из латуни (CuZn). Выводы разъемов также изготовлены из латуни, имеют серебряное (по умолчанию) или золотое (опция /Au в наименовании) износостойкое покрытие, позволяющее избежать окисления, обеспечить хорошую проводимость и постоянное переходное сопротивление контакта не более 300 мкОм. В качестве материала изоляции применяются термопласты PTFE или POM, их огнестойкость определяется стандартом UL94 и соответствует оценкам V‑0 и HB. Конструкция разъемов гарантирует степень защиты от внешних воздействий — IP50 (пылезащищенное исполнение). Цилиндрические разъемы серии S представляют собой сочетание штепсельной вилки (HS) и штепсельной розетки (HB). Соединение центральных проводников вилки и розетки стандартное: штыревой контакт одного соединителя входит в гнездовой цанговый контакт другого и удерживается в нем за счет усилия, создаваемого пружинящим гнездом. Фиксация контакта выполняется при помощи присоединительной гайки, расположенной на корпусе вилки, и резьбы на корпусе розетки. Для обеспечения гарантированного количества циклов коммутации (100 000) усилие стыковки и расстыковки не должно превышать 5,5 и 4 Н соответственно. Монтаж розетки к корпусу приборов возможен двумя способами в зависимости от модификации разъема (HBx0 или
б
Рис. 1. Внешний вид разъемов серий: а) S; б) 100
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
52
компоненты
установочные и коммутационные элементы
Рис. 2. Доступные конфигурации выводов многоконтактных разъемов серии M
HBx1). Первые имеют квадратные фланцы с четырьмя крепежными отверстиями, у вторых ее фиксация выполняется при помощи специальной гайки с внутренней стороны корпуса устройства (рис. 1а). У вилки заделка центральной жилы кабеля производится при помощи пайки, обеспечивающей простоту и хорошее качество контакта. Наружный проводник гибкого кабеля (оплетка) закрепляется путем обжима. Аналогичное строение имеют высоковольтные разъемы серии 100, позиционируемые для промышленного применения в тяжелых условиях эксплуатации и обладающие повышенной стойкостью к ударным нагрузкам и сильным механическим воздействиям. Серия содержит 12 различных моделей, рассчитанных на предельные рабочие напряжения 10–100 кВ. Для каждого номинала напряжения доступны разъемы с рабочими токами 30 А (максимальное значение 40 А) или 80 А (110 А). Соединители с повышенным рейтингом тока имеют уменьшенное до 150 мкОм сопротивление контакта и могут использоваться с проводами с диаметром центральной жилы до 5 мм и внешним диаметром до 14 мм. Также для каждого разъема выпускаются модификации, отличающиеся между собой наличием внутрен-
ней или внешней уплотнительной манжеты, адаптированной под различные диаметры используемых проводов. Разъемы данной серии предлагаются в виде пары: вилки KS1xy и розетки GB1xy, где x отвечает за номинальное напряжение, а y — за значение рабочего тока. Никелированный корпус с тефлоновой изоляцией обеспечивает класс защиты IP67 (в соединенном состоянии), выводы имеют исключительно серебряное покрытие. Материал изолятора (PTFE) способен выдерживать температуры до +200 °C. Монтаж розетки на корпус прибора осуществляется только при помощи соединительной гайки (рис. 1б). Многоконтактные цилиндрические разъемы Линейка серийно выпускаемых многоконтактных разъемов компании, представленная тремя семействами (M, MC и MCS), отличается универсальностью применения. Соединители серии M с надежным резьбовым соединением находят широкое применение в цепях постоянного, переменного и импульсного тока. Они рассчитаны на рабочие напряжения до 12 кВ (новые модели до 30 кВ DC) и токи до 30 А. Каждый разъем серии содержит 2–9 контактов из латуни с серебряным
напылением. Доступны в различных комбинациях контакты трех видов, объединенных в различные комбинации (рис. 2). Помимо обычных высоковольтных контактов с диаметром 2,7 мм и переходным сопротивлением пары 300 мкОм, возможно использование дополнительных выводов для обеспечения заземления или соединения цепи контура безопасности (E), а также вспомогательных низковольтных выводов с диаметром 1,5 мм, номинальным током 25 А и сопротивлением не более 500 мкОм (L). Компоненты серии изготавливаются в прочных герметичных алюминиевых корпусах, покрытых слоем никеля и обеспечивающих степень защиты от внешних воздействий IP54. В качестве материала диэлектрика применяется PTFE или POM. В зависимости от задачи на приборную панель могут устанавливаться как штепсель, так и гнездо. Их монтаж выполняется при помощи четырех отверстий на фланцах корпуса. Ответные части (розетка и вилка соответственно), образующие с ними контактные пары, крепятся на неэкранированный кабель. Монтаж провода производится при помощи пайки, допустимый калибр кабеля варьируется от AWG 14 (площадь поперечного сечения 2,5 мм 2) до AWG 16 (1,5 мм2). Износостойкость разъемов достигает 100 000 циклов стыковки/расстыковки (показатель гарантируется для всех типов контактов). Внешний вид разъемов серии показан на рис. 3. Серия MC объединяет малогабаритные разъемы, каждый из которых содержит 2–5 выводов, рассчитанных на рабочее напряжение до 10 кВ и ток до 13 А. В отличие от многоконтактных компонентов серии M они предназначены для коммутации только высоковольтных линий. Изделия изготавливаются в никелированных корпусах из латуни, для улучшения качества контакта выводы имеют серебряное или золотое покрытие (рис. 4). Из отличительных особенностей серии можно отметить большое разнообразие доступных моделей. Благодаря наличию широкого спектра опциональных кабельных зажимов обеспечивается возможность подключения проводов с внешним диаметром 6,5–14 мм. Запрессовка кабеля в контакт выполняется с помощью пайки, в качестве изолятора преимущественно используется полиоксиметилен. Класс защиты устройств соответствует IP60 (IP65 по запросу). Отдельно следует отметить разъемы типа MC520, изготавливаемые с применением PTFE и характеризующиеся повышенным до 15 кВ рабочим напряжением. Миниатюрные высоковольтные разъемы бюджетной серии MCS предназначены для интенсивной эксплуатации в силовых электрических цепях лабораторного, медицинского, измерительного и тестового оборудования, а также в промышленных установках с номинальным напряжением до 5 кВ DC
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
установочные и коммутационные элементы
Рис. 3. Внешний вид многоконтактных разъемов серии M
компоненты
53
Рис. 4. Внешний вид многоконтактных разъемов серии MC
Рис. 5. Внешний вид разъемов серии MCS
и током до 4,5 А. Для обеспечения безопасности функционирования предусмотрено тестирование напряжением 8 кВ DC. Каждый разъем серии имеет четное количество контактов (2, 4 или 6). Выводы c диаметром 0,7 мм изготавливаются из латуни и выполнены с золотым напылением. Надежная фиксация вилки и розетки осуществляется при помощи резьбового соединения. Никелевое покрытие корпуса обеспечивает отличную защиту от воздействия промышленных газов, солевых испарений и других источников коррозии. В качестве изолятора используется полибутилентерефталат (PBT), который обладает высокой устойчивостью к воздействию растворителей и обеспечивает надежное функционирование при температурах –40…+150 °C. Класс защиты от внешних воздействий (IP68) обеспечивает стабильную работу соединителей даже в крайне неблагоприятных условиях, в том числе при воздействии агрессивных сред. Гарантированное количество циклов стыковки/расстыковки — не менее 5000. Внешний вид разъемов серии MCS представлен на рис. 5. Пластиковые разъемы серии VarioPro Серия VarioPro включает высоковольтные разъемы, предназначенные для организации межкабельного соединения. Основное назначение — медицинское оборудование, а также аналитические и лабораторные приборы, такие как лазерные установки, стереометры, кристаллографы и другие. Компоненты серии способны надежно функционировать в цепях с рабочим напряжением 8–13 кВ и током до 30 А. Они отличаются простотой обслуживания, высоким уровнем безопасности и гибкостью применения при минимально необходимом пространстве для размещения.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Рис. 6. Внешний вид одноконтактных разъемов серии VarioPro
Пластиковые детали имеют усиленную конструкцию, обладают хорошими диэлектрическими показателями и стойкостью к ударным нагрузкам, изнашиванию и истиранию. Соединители VarioPro изготавливаются в негерметичном исполнении с уровнем защиты IP20 (по DIN EN 60529), стойкость к воспламенению соответствует оценке UL94 V‑0. Они обеспечивают более 1000 циклов соединений/разъединений без существенного ухудшения электрических характеристик, сопротивление контакта штекер-гнездо не превышает 5 мОм. Все разъемы подразделяются на несколько типов, их внешний вид показан на рис. 6. Однополюсные разъемы VarioPro Basic подходят для кабелей с размерами AWG 26–14. Модификация VarioPro Basic DF с одним либо двумя силовыми контактами снабжена дополнительными пластиковыми фланцами с двумя отверстиями для крепления штекера и гнезда между собой. Одноконтактные коннекторы VarioPro SB10 позиционируются для соединения высоковольтных линий с более высокими значениями номинального тока (до 30 А). Каждый разъем VarioPro CL может содержать 1–3 контакта. Отличительной особенностью данного типа является наличие практичного механизма автоматической фиксации при помощи пластиковой защелки, обеспечивающей невозможность случайного размыкания соединения. Также конструкцией предусмотрено крепление разъема в вырез
на приборной панели. Материал изготовления и одновременно изоляции — полибутилентерефталат (PBT). Выводы имеют диаметр 1,6 мм, а также серебряное или золотое напыление (в зависимости от модели). Модульные разъемы Серия MOD состоит из специализированных модульных разъемов, позиционируемых для применения в роботизированных системах, контрольных панелях, устройствах автоматизированной сварки, а также разнообразных промышленных установках. Каждый разъем формируется на основе модульных вставок различного типа, позволяющих коммутировать не только основные силовые и вспомогательные низковольтные электрические цепи, но и линии передачи данных по сети Ethernet, а также пневмомагистрали. Контактная группа определяется самим разработчиком исходя из определенной задачи. При выборе учитываются требования по количеству полюсов, нагрузочной способности контактов (минимальным коммутируемым токам и напряжениям) и габаритам конечного разъема. Различные комбинации объединяемых контактных групп обеспечивают высокую гибкость применения, экономят занимаемое пространство и устраняют потребность в дополнительных разъемах. Перечень и основные характеристики модульных вставок представлены в таблице 2, а их внешний вид изображен на рис. 7. www.kite.ru
54
компоненты
Помимо силовых вставок, рассчитанных на рабочее напряжение до 12 кВ, в настоящее время производителем предлагаются: • группа электрических вставок с 3–20 контактами и максимальным напряжением от 63 В (для 20‑выводного варианта) до 630 В (для 3‑контактного); • трехконтактные модули для соединения коаксиальных кабелей с волновым сопротивлением 50 Ом; • вставки для передачи сигналов стандарта Ethernet (пропускная способность до 100 Мбит/с). Помимо типового разъема RJ‑45, содержат четыре вспомогательных низковольтных канала; • одно- и двухконтактные вставки для пневмомагистралей с рабочим давлением до 8 бар. Модульные вставки закрепляются вместе при помощи пластиковых каркасов четырех типоразмеров. В зависимости от размеров каркасов можно объединить 2, 3, 5 или 7 модульных вставок различного назначения. Допускается установка как вилочных, так и розеточных контактных вставок, это позволяет создавать необходимую конфигурацию кабельных соединений. Полученная конструкция устанавливается в корпус разъема. Корпуса, изготавливаемые из алюминия, обладают большим запасом механической прочности и надежно защищают контактные группы при работе в тяжелых условиях эксплуатации. Продуманная конструкция и применение качественных материалов позволили достичь класса защиты IP65 (полная защита от проникновения пыли и брызг воды) при применении дополнительно предлагаемых кабельных вво-
установочные и коммутационные элементы
Таблица 2. Характеристики модульных вставок разъемов серии MOD Модуль HiPro HV PTFE HV 1 PTFE HV 2 20-контактный 10-контактный 5-контактный 3-контактный
Тип модуля
Электрические высоковольтные
Электрические низковольтные
Количество контактов
Рабочее напряжение, В DC
Диаметр контактов, мм
Количество циклов стыковки/ расстыковки
Допустимый калибр кабеля
2 1 2 20 10 5 3
12 000 12 000 12 000 63 400 400 630
2,5 2,7 2,7 1,0 1,6 2,5 3,6
>500 >100 000 >100 000 >500 >500 >500 >500
AWG 20–12 AWG 14 AWG 14 AWG 28–20 AWG 26–14 AWG 20–12 AWG 16–8
KOAX
Для коаксиальных кабелей
3
250
–
>500
RG 58, 174, 179, 316
RJ45
Коммуникационные
8+4 дополнительных
–
–
>500
AWG 26–14 (для дополнительных контактов)
1
–
–
>5000
–
2
–
–
>5000
–
ST-1PN-M и BU-1PN-M (гнездо) ST-2PN-M и BU-2PN-M (гнездо)
Пневмо
дов. Габариты корпусов также зависят от количества модульных вставок, в пределах одного типоразмера доступны устройства как для крепления на панели приборов, так и для обеспечения межкабельного соединения. Также предлагаются варианты с угловым вводом кабеля. Разъемы, предназначенные для монтажа на приборную панель, имеют четыре крепежных отверстия с диаметром 4,5 мм. Специализированные модульные разъемы, подходящие для определенных сфер применения, поставляются как готовые скомпонованные изделия. Они обладают полной совместимостью с продукцией крупных производителей, таких как Amphenol, Lapp, Wieland, Weidmueller и т. д. Среди подобных изделий можно отметить модульные высоковольтные соединители, объединенные
в серии MOD HP и MOD T и рассчитанные на работу в цепях с напряжением до 12 кВ и током 25 и 30 А соответственно. Каждый разъем серий MOD HP и MOD T может содержать 2–14 контактов. Тип MOD HP выполнен с применением модульных вставок HiPro HV. Сами контакты, предназначенные под обжим или пайку, изготовлены из латуни с напылением из серебра и имеют сопротивление менее 2 мОм. В качестве материала изоляции выступает полиамид PA 6.6 GF, соединение двух частей разъема осуществляется при помощи защелки. Данные разъемы гарантируют не менее 500 циклов соединения/разъединения без ухудшения электрических параметров. Разъемы типа MOD T построены на основе модулей PTFE HV, в которых для изоляции выводов используется фторопласт. Они обе-
Рис. 7. Внешний вид модульных вставок разъемов серии MOD
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
установочные и коммутационные элементы
компоненты
55
новости
Магнитомягкий феррит для силовой электроники от Cosmo Ferrites
Рис. 8. Внешний вид 4‑полюсных разъемов MOD HP и MOD T
полнении, предлагаемый компанией GES High Voltage, подходит для большинства применений, где ключевое значение имеют надежность и безотказность функционирования разрабатываемой аппаратуры. Традиционное немецкое качество и многолетний опыт работы в данной области позволяют создавать конкурентоспособные изделия, не уступающие соответствующей продукции ведущих производителей. n
Заключение
Литература
Широкий ассортимент высоковольтных разъемов в различном корпусном ис-
1. www.ges-highvoltage.com 2. www.icquest.ru
www.macrogroup.ru
Реклама
спечивают не менее 100 000 циклов коммутации, при этом сопротивление контактной пары не превышает 0,3 мОм. Контакты под пайку имеют диаметр 2,7 мм и изготавливаются из латуни. На рис. 8 представлен внешний вид 4‑полюсных разъемов MOD HP и MOD T. Одна часть контактной пары монтируется на панель прибора, ответная устанавливается на кабель.
Компания Cosmo Ferrites выпускает на рынок новый материал CF295, специально разработанный с учетом требований индустрии преобразования энергии. Данный материал отличается низкими потерями мощности (менее 50 кВт/м 3) и высокой плотностью магнитного потока насыщения (Bs = 525 мТл) в широком диапазоне рабочих частот и температур. Основные сферы применения CF295: светодиодное освещение и электронные балласты, автомобильная электроника, светодиодные дисплеи и индуктивная беспроводная передача энергии (IWPT). При допустимой мощности потерь параметры CF295 превосходят при тех же условиях эксплуатации большинство материалов для силовых ферритов. В различных режимах эксплуатации CF295 значение потери в сердечнике и, следовательно, КПД практически не меняются. Кроме того, он имеет точку Кюри +220 °C, и его можно безопасно использовать с любым известным классом изоляционных материалов. Плотность потока насыщения CF295 составляет 525 мТл при +25 °C и снижается до 410 мТл при +100 °C (тип.) — такие значения превосходят типичные параметры 490 мТл при +25 °C и 380 мТл при +100 °C для других силовых ферритовых материалов.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
компоненты
56
ПЛИС
Обзор семейства Xilinx Artix UltraScale+ и перспективы применения ПЛИС Xilinx начального уровня
Илья Тарасов, д. т. н. ilya_e_tarasov@mail.ru
Введение В настоящее время семейство Artix UltraScale+ (далее — ArtixUS+, рис. 1) представлено четырьмя микросхемами, в целом основанными на архитектуре UltraScale+, которая развивает общий подход к унификации архитектуры между семействами, заложенный в серии 7. Нужно отметить, что недоро-
Рис. 1. ПЛИС Artix UltraScale+
В статье описано актуальное состояние продукции Xilinx в сфере относительно недорогих ПЛИС начального уровня. С выходом семейства Artix UltraScale+, выполненного по 16‑нм технологии FinFET, набор таких ПЛИС расширился. И хотя сегодня большое внимание уделяется новой 7‑нм платформе Versal, данное семейство по-прежнему демонстрирует поддержку начального сегмента, в котором целесообразно применение недорогих ПЛИС. В публикации рассмотрено соотношение ресурсов основных типов в новом семействе. Видно, что количество внешних выводов на единицу логической емкости меньше, чем у недорогих ПЛИС предыдущих поколений. В то же время абсолютные значения логической емкости и производительности в задачах ЦОС у Artix UltraScale+ выше, что дает возможность использовать их в таких приложениях, как ввод и обработка видео, облачные и граничные вычисления и подобные им. Наличие нескольких поддерживаемых семейств недорогих ПЛИС позволяет разработчикам выбирать аппаратную платформу, имеющую оптимальное соотношение основных ресурсов.
гие ПЛИС 7‑й серии до сих пор актуальны, в том числе из-за длительного отсутствия альтернативы (среди продуктов Xilinx) [1]. Производитель отмечает следующие основные черты нового семейства: • 16‑нм техпроцесс TSMC FinFET+; • производительность на единицу потребляемой мощности в 2,4 раза ниже, чем у Artix‑7;
• возможность снижения напряжения питания для регулирования соотношения производительности и потребления; • улучшенные возможности трассировки на кристалле; • приемопередатчики с режимами до 16 Гбит/с; • обобщенные тактовые генераторы для матрицы логических ячеек и блоков SerDes; • поддержка PCI Express Gen3x8, Gen4x2; • наивысшая производительность в задачах цифровой обработки сигналов в классе недорогих ПЛИС; • производительность до 1860 GMAC/с с целочисленными операндами и до 620 GFLOP/с с операндами с плавающей точкой (fp32); • поддержка интерфейсов до DDR4-2400; • поддержка скоростей до 2500 Мбит/с для интерфейсов MIPI и LVDS; • встроенный системный монитор (измерение напряжения, тока и температуры кристалла). В целом можно обратить внимание на расширение поддержки интерфейсов для ввода видеопотока. Увеличение разрешения видеокамер привело к появлению последовательных интерфейсов MIPI, использующих высокоскоростные дифференциальные интерфейсы.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
ПЛИС
Характеристики ПЛИС семейства Artix UltraScale+ В таблице 1 приведены характеристики анонсированных ПЛИС Artix UltraScale+ [2]. Чтобы избежать перегрузки таблицы информацией, сведения о корпусах этих ПЛИС приведены в таблице 2. Появление семейства Artix UltraScale+ оказалось достаточно неожиданным, поскольку анонсы и рекламные материалы Xilinx в последнее время были сконцентрированы вокруг 7‑нм серии Versal. С одной стороны, современные техпроцессы ассоциируются с лучшими характеристиками, но с другой — проверенный 16‑нм техпроцесс означает в том числе и умеренную стоимость, а для систем начального уровня предельные технические характеристики не являются обязательными. Можно отметить, что даже минимальная ПЛИС ArtixUS+ имеет почти 100 000 эквивалентных логических ячеек (рис. 2). Когда-то это было максимальным объемом для ПЛИС Virtex‑4. Очевидно, что объемы и возможности ПЛИС постоянно растут, однако технически можно выпускать и микросхемы, по объему сопоставимые с предыдущими семействами. Из приведенных сведений видно, что актуальность Artix‑7 и Spartan‑7 в целом сохраняется, поскольку новое семейство не претендует на замену ПЛИС объемом до 100 000 ячеек. Наглядное представление соотношения между логическим объемом и количеством выводов показывает, что ArtixUS+ имеет существенно большую плотность внутренних компонентов на один внешний вывод. Это не вполне соответствует современным требованиям увеличения пропускной способности внешних интерфейсов, однако позволяет использовать компактные корпуса. На рис. 3 показана диаграмма, представляющая соотношение между логическими ячейками и блоками цифровой обработки сигналов. На ней можно видеть, что ArtixUS+ является более ЦОС-ориентированным. Для объема 100 000 логических ячеек, где наблюдается перекрытие с предыдущими семействами, количество блоков DSP вдвое выше. При этом микросхема AU25P предлагает уже 1200 таких блоков.
компоненты
57
Таблица 1. Характеристики ПЛИС семейства Artix UltraScale+ Характеристики Системных ячеек Триггеров в КЛБ Таблиц истинности в КЛБ Распределенной памяти, Мбит Блоков памяти Блочной памяти, Мбит Блоков CMT Выводов HP (макс.) Выводов HD (макс.) Секций DSP Системный монитор Приемопередатчиков GTH Приемопередатчиков GTY PLL для приемопередатчиков Контроллер PCIe Gen3x16 Контроллер PCIe Gen3x16/Gen4x8/CCIX
AU10P
AU15P
AU20P
AU25P
96 250 88 000 44 000 1 100 3,5 3 156 72 400 1 12 – – – 1
170 100 155 520 77 760 2,5 144 5,1 3 156 72 576 1 12 – – – 1
238 437 218 000 109 000 3,2 200 7 3 156 72 900 1 – 12 6 1 –
308 437 282 000 141 000 4.7 300 10,5 4 208 96 1200 1 – 12 6 1 –
Таблица 2. Характеристики корпусов ПЛИС семейства Artix UltraScale+ Размеры корпуса, мм
AU10P
AU15P
Корпус
AU20P
AU25P
UVBA368
11,5×9,5
24, 104, 8, 0
24, 104, 8, 0
–
SBVB484
19×19
48, 156, 12, 0
48, 156, 12, 0
–
–
SFVB784
23×23
–
–
72, 156, 0, 12
96, 208, 0, 12
FFVB676
27×27
72, 156, 12, 0
72, 156, 12, 0
72, 156, 0, 12
72, 208, 0, 12
Выводов HD, HP, GTH, GTY –
Рис. 2. Диаграмма соответствия между емкостью ПЛИС семейств начального уровня и максимальным количеством программируемых выводов
Современные задачи для ПЛИС начального уровня Аппаратный состав ПЛИС нового семейства позволяет представить те задачи, на которые они ориентированы, и оценить открывающиеся возможности. Одним из активно развивающихся направлений, крайне привлекательным для применения ПЛИС, является обработка видео. Системы видеонаблюдения, распознавания объектов (в том числе с помощью нейросетей), а также техническое зрение в автомобильном транспорте и промышленно-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Рис. 3. Диаграмма соответствия между емкостью ПЛИС семейств начального уровня и количеством блоков цифровой обработки сигналов
www.kite.ru
58
компоненты
сти, потоковое мультимедиа и другие приложения востребованы во многих областях. С одной стороны, большой рынок привлекает в эту сферу разные аппаратные платформы, в частности с развитой аппаратной поддержкой обработки видео. Промышленные компьютеры на базе x86, ARM и GPU могут обеспечить решение многих массово востребованных задач в области приема и обработки видеопотока. В то же время сильной стороной ПЛИС всегда были высокопроизводительные вычисления с оригинальными алгоритмами на основе реконфигурируемых ресурсов. Для разработчиков может представлять интерес реализация нестандартных схем обработки, не укладывающихся в возможности массово производимой элементной базы. Небольшие корпуса и создание модулей на основе ПЛИС в довольно компактном формате позволяют использовать подобные системы в качестве альтернативы промышленным компьютерам. Следует обратить внимание на все более активно распространяющийся формат модулей на основе ПЛИС размерами 8055 мм. Отдельно отмечается возможность реализации в корпусе ПЛИС размером 11,59,5 мм поддержки потокового видео формата 4K@60fps. В сочетании с поддержкой PCI Express и Ethernet 1G/10G это позволяет разрабатывать компактные преобразователи интерфейсов, в том числе между проводными сетями и видео (HDMI, SDI, Display Port). Можно также обратить внимание на развитие направления пограничных вычислений (Edge Computing). Под этим подразумевается выполнение основного объема обработки
новости
ПЛИС
первичной информации непосредственно в месте ее формирования («на границе»). Предшественником данного термина можно считать понятие «интеллектуальные датчики» — первоначально они представляли собой модули с интегрированными микроконтроллерами, которые позволяли передавать не исходные аналоговые сигналы, а результаты их обработки. Увеличение производительности вычислений в компактном корпусе позволяет переносить «на границу» все больший объем операций. Например, к обработке в датчике можно относить не только первичную цифровую фильтрацию (которая в целом не уменьшает передаваемый трафик), но и спектральную и статистическую обработку отфильтрованных данных. В этом случае с точки зрения системной архитектуры можно резко снизить нагрузку на сеть (проводную или беспроводную) между датчиком и системой сбора данных. На практике возможности ПЛИС вполне достаточны для построения узлов уровня концентратора данных от десятков и даже сотен первичных датчиков.
проводной связи, автомобильная электроника и системы промышленной автоматизации, постоянно требуют относительно недорогой, но при этом высокопроизводительной элементной базы, позволяющей формировать вычислительные системы с применением новых алгоритмов, создаваемых отдельными коллективами разработчиков. Особенностью текущей непростой ситуации в мировой микроэлектронике является длительная задержка поставок микросхем. Продолжительность такого положения дел трудно прогнозировать, однако для разработчиков это приводит к существенным задержкам между принятием решений и поставками элементной базы. Улучшить создавшуюся ситуацию в полной мере вряд ли удастся, однако можно рекомендовать ориентироваться на полномасштабное моделирование выпускаемой продукции на системном уровне и раннее взаимодействие с дистрибьюторами [4] для уточнения плана поставок. n
Заключение
1. www.xilinx.com/products/silicon-devices/fpga/ artix-ultrascale-plus.html 2. UltraScale Architecture andProduct Data Sheet: Overview. DS890 (v4.0). March 16, 2021 Product Specification. www.xilinx.com/content/dam/ xilinx/support/documentation/data_sheets/ ds890‑ultrascale-overview.pdf 3. w w w . x i l i n x . c o m / c o n t e n t / d a m / x i l i n x / publications/product-briefs/xilinx-artixultrascale-plus-product-brief.pdf 4. www.plis.ru
Расширение номенклатуры ПЛИС начального уровня за счет добавления микросхем, производимых на основе актуального 16‑нм технологического процесса, позволяет довольно оптимистично оценивать перспективы конфигурируемой аппаратной платформы как таковой. Такие направления, как прием видео с цифровых камер и его обработка, граничные вычисления, системы цифровой бес-
Литература
измерительная аппаратура
Первая система тестирования беспроводных сетей 5G mmWave Keysight, одобренная CTIA Компания Keysight Technologies, Inc. объявила о начале выпуска первой системы тестирования беспроводных сетей (OTA) 5G mmWave, полностью одобренной ассоциацией CTIA. Данная система предназначена для проверки функционирования приемопередатчиков в лабораторных условиях и поможет ускорить внедрение беспроводного широкополосного соединения с использованием ВЧ-диапазона. Keysight — первый поставщик измерительного и испытательного оборудования, одобренный в качестве поставщика систем ассоциацией CTIA (Ассоциация изготовителей сотовых телекоммуникационных систем) — организацией, представляющей интересы американских операторов беспроводной связи в экосистеме мобильных технологий. Для обеспечения сверхвысокой скорости передачи данных со сверхнизким временем задержки во многих современных областях применения систем 5G необходимы широкие полосы пропускания, доступные лишь в диапазоне FR2. Полная линейка решений для сетей 5G и эмуляции каналов в сочетании с современными измерительными системами, которые соответствуют стандартам 5G New Radio (NR) консорциума 3GPP, позволила Keysight создать первую одобренную CTIA среду для испытаний OTA в сетях 5G mmWave с целью подтверждения радиочастотных (РЧ) параметров устройств 5G NR. Эта среда обеспечивает беспрепятствен-
ную интеграцию оборудования Keysight — набора инструментов для тестирования на соответствие, платформы UXM 5G для тестирования беспроводных устройств и коллиматорного комплекса (CATR) при реализации сценариев тестирования CTIA. Keysight тесно взаимодействует с CTIA в области разработки планов аттестационных испытаний, позволяя предприятиям отрасли полностью раскрыть потенциал стандарта 5G NR. Технология 5G mmWave — ключевой компонент, необходимый для реализации инновационных сценариев использования 5G-сетей, таких как дистанционный медицинский контроль, «умное» производство, «умные» порты, устройства видеонаблюдения сверхвысокой четкости, а также применение элементов расширенной и виртуальной реальности (AR/VR) в играх. Одобренные CTIA тестовые лаборатории (ATL) и производители 5G-устройств также могут применять испытательные платформы Keysight для доступа к многочисленным сценариям тестирования РЧ-связи, демодуляции, управления радиоресурсами (RRM) и обеспечения соответствия интерфейсу согласно требованиям Всемирного форума по сертификации (GCF) и Совета по сертификации типов PCS (PTCRB). www.keysight.com/ru/ru
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
ПЛИС
компоненты
59
Проект динамической смены конфигурации ПЛИС семейства MAX10. Часть 2
Дмитрий Дайнеко dyne@micran.ru
С
ледует привести структурную схему (рис. 1) проекта на ПЛИС, которая была разработана в первой части статьи, чтобы читателю было проще воспринимать описание модулей проекта, вложенных в головной модуль. Модуль Receiver.sv Рассмотрим внутреннюю структуру модуля Receiver.sv (рис. 2), работа которого заключается в приеме посылок с данными от ПК.
В этой части статьи рассмотрена реализация загрузчика и основной конфигурации на микросхеме ПЛИС семейства MAX10 корпорации Intel. ПЛИС семейства MAX10 имеет возможность организовать две абсолютно независимые конфигурационные схемы. Таким образом, одну можно использовать в качестве загрузчика, а вторую как основную рабочую конфигурацию. Статья также адресована новичкам, деятельность и интересы которых связаны с ПЛИС. Во второй части публикации представлено описание rtlкода всех модулей проекта, моделирование их работы в среде ModelSim, а также проверка работоспособности проекта.
Модуль Receiver.sv состоит из следующих подмодулей: • SingleRxUART.sv обеспечивает достоверный прием одного байта по UART, передав ему в качестве параметров частоту тактирования модуля (CLOCK), скорость UART (BAUD) и др. • RxUART_timeout.sv вырабатывает строб сброса приема пакета в случае паузы (TIMEOUT) между пакетами. Данный модуль необходим, когда в процессе приема пакета произошел какой-либо сбой.
• RxUART_logic.sv обеспечивает контроль приема пакета с ПК, считает количество принятых байт, определяет номер команды и проверяет контрольную сумму для фиксирования достоверности/недостоверности принятого пакета. В процессе приема данные складываются в соответствующую память, описанную в модуле Memory.sv (рис. 1). В случае достоверности приема пакета с ПК модуль RxUART_logic.sv готов принимать сразу следующий пакет, не дожидаясь установленного тайм-аута.
Рис. 1. Структурная схема проекта с модулями верхнего уровня
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
компоненты
60
ПЛИС
от проекта к проекту не нужно изменять rtl-код модуля, достаточно лишь указать UART-параметры, актуальные в конкретном проекте. Подключение двух модулей RxUART_ logic.sv и RxUART_timeout.sv: ///// RECEIVE PACKET ///// defparam RxUART_logic.NUMBER = NUMBER; RxUART_logic RxUART_logic ( .clk (clk), .reset (reset), .rx_data (rx_data), .rx_done (single_done), .timeout (timeout), .pck_done (rx_done), .cmd_rx (cmd_rx), .len_rx (len_rx), .wr_data (wr_data), .wr_addr (wr_addr), .wr_clock (wr_clock), .we (we) ); ///// TIMEOUT CONTROL /////
Рис. 2. Структурная схема модуля Receiver.sv
Перейдем к разработке RTL-кода модуля Receiver.sv и для примера приведем шапку модуля: `include "inc_define.vh" module Receiver #( parameter int CLOCK = 50_000_000, parameter int BAUD = 115_200, parameter PARITY = "NO", parameter FIRST_BIT = "LSB", parameter NUMBER = 256, parameter TIMEOUT = 10 ) ( input clk, input reset, input rxd, output rx_done, output [7:0] cmd_rx, output [7:0] len_rx, output [7:0] wr_data, output [clogb2(NUMBER)–1:0] wr_addr, output wr_clock, output we );
Назначение указанных параметров в шапке модуля уже было описано в первой части статьи при рассмотрении top-level-модуля. Порты ввода/вывода соответствуют описанию межмодульных сигналов, приведенных на рис. 1, но вкратце повторим. На вход rxd поступают асинхронные байты с ПК, в которых выделяются команда cmd_rx и длина принятых полезных данных len_rx. Затем принятые полезные данные складываются в память Memory.sv посредством сигналов wr_data, wr_addr, wr_clock, we, сообщая о завершении приема пакета импульсом rx_done. Внутренние сигналы модуля Receiver.sv: ///// SIGNALS ///// wire [7:0] rx_data; wire single_done; wire single_busy; wire timeout;
Восьмибитная шина данных rx_data является каждым принятым байтом данных
от ПК, сигналы single_done и single_busy информируют о завершении приема байта данных и процесса приема данных соответственно. Сигнал timeout прерывает возможный неверно принятый пакет, возвращая модуль RxUART_logic.sv в исходное состояние ожидания нового пакета. Далее описано подключение трех модулей, взаимодействие которых обеспечивает работу модуля Receiver.sv. Подключение модуля SingleRxUART.sv: ///// RECEIVE SINGLE BYTE ///// defparam SingleRxUART.CLOCK = CLOCK; defparam SingleRxUART.BAUD = BAUD; defparam SingleRxUART.PARITY = PARITY; defparam SingleRxUART.FIRST_BIT = FIRST_BIT; SingleRxUART SingleRxUART ( .clk (clk), .reset (reset), .rxd (rxd), .rx_data (rx_data), .parity_err (), .done (single_done), .busy (single_busy) );
Поскольку модуль SingleRxUART.sv обеспечивает прием байта по интерфейсу UART, для его исправной работы необходимо подключение параметров CLOCK и BAUD — тактовой частоты и скорости обмена по UART. Те, кто знаком с интерфейсом UART, знают, что необходимы и параметры четности и очередности битов принимаемого байта — PARITY и FIRST_BIT соответственно. Читатель может заметить, что порт parity_err никуда не подключен, потому что модуль SingleRxUART.sv автор использует во многих других своих проектах, в которых ошибку паритета следует контролировать. В нашем же случае верность пакета будем определять с помощью контрольной суммы в модуле RxUART_ logic.sv. Читатель также еще раз может убедиться в том, что параметризированные модули являются универсальными, поскольку
defparam RxUART_timeout.CLOCK = CLOCK; defparam RxUART_timeout.BAUD = BAUD; defparam RxUART_timeout.PARITY = PARITY; defparam RxUART_timeout.TIMEOUT = TIMEOUT; RxUART_timeout RxUART_timeout ( .clk (clk), .reset (reset), .rx_done (single_done), .rx_busy (single_busy), .timeout (timeout) ); endmodule
Перейдем к rtl-коду каждого из трех модулей, составляющих Receiver.sv. Модуль SingleRxUART.sv Шапка модуля с описанием параметров, портов, а также вычисление локальных параметров, необходимых в rtl-коде данного модуля: `include "inc_define.vh" module SingleRxUART #( parameter int CLOCK = 50_000_000, parameter int BAUD = 115_200, parameter PARITY = "NO", parameter FIRST_BIT = "LSB") ( input clk, reset, input rxd, output [7:0] rx_data, output logic parity_err, done, busy ); localparam real factor_real = CLOCK/BAUD; localparam int factor_int = factor_real;
Упоминать параметры будем в процессе описания модуля SingleRxUART.sv, а назначение портов ясно из текста, приведенного выше, и ознакомления со структурной схемой модуля Receiver.sv. Обязательно следует объяснить назначение локальных параметров factor_real и factor_int. Параметр factor_real рассчитывает вещественное значение — отношение частоты тактового сигнала (CLOCK) к требуемой скорости (BAUD) обмена данными по UART. Параметр factor_int содержит округленное до целого значение factor_real и необходим для счетчика длительности одного бита UART.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
ПЛИС
компоненты
61
Сдвиговый регистр sync_reg для избавления от метастабильности: ///// META ///// reg [2:0] sync_reg; wire rxd_; always_ff @ (negedge clk, posedge reset) if (reset) sync_reg[2:0] <= '1; else sync_reg[2:0] <= {sync_reg[1:0],rxd}; assign rxd_ = sync_reg[2];
Поскольку входной сигнал rxd является асинхронным для проекта, необходимо избавиться от метастабильности путем «прогона» входного сигнала через два или три последовательных триггера, тактируемых с частотой клока проекта, — перевести асинхронный сигнал в частотный домен clk. О метастабильности можно прочесть в [2, 3]. Процесс подсчета длины бита UART: ///// LENGTH BIT COUNTER ///// reg [clogb2(factor_int)–1:0] len_bit; wire bit_mid, bit_full; wire len_bit_inc; always_ff @ (posedge clk, posedge reset) if (reset) len_bit <= '0; else if (len_bit == factor_int-1) len_bit <= '0; else if (len_bit_inc) len_bit <= len_bit + 1'b1; else len_bit <= '0; assign len_bit_inc = (busy) | (rxd_ == 1'b0); assign bit_full = (length_bit == factor_int–1); assign bit_mid = (length_bit == factor_int/2–1);
Как видно из кода, счетчиком длины бита является len_bit, чья разрядность в процессе компиляции модуля определяется функцией clogb2 от параметра factor_int. Условием счета len_bit является флаг len_bit_inc, который в свою очередь устанавливается, когда зафиксировано начало старт-бита (rxd_ = 1’b0) или прием байта уже идет (busy). Также описаны два строба, bit_full и bit_mid, сигнализирующих о длине бита и его середине соответственно. Описание подсчета количества принимаемых по UART бит (cnt_bit), а также установка/сброс флага занятости busy:
Рис. 3. Временные диаграммы моделирования модуля SingleRxUART.sv
С помощью конструкции generate … endgenerate можно выбирать, какую часть rtl-кода в зависимости от установленных параметров следует компилировать. В данном случае в зависимости от того, имеется ли контроль четности/нечетности в UART, длина принимаемого слова может иметь 11 бит (старт-бит, 8 бит данных, бит паритета, стоп-бит) или 10 бит (без бита паритета). Приведем процесс приема в сдвиговый регистр входных данных:
always_ff @ (posedge clk, posedge reset) if (reset) begin rx_data_ <= 8'h0; done <= 1'b0; parity_err <= 1'b0; end else if (busy & end_word) begin rx_data_ <= data; parity_err <= parity_calc; done <= 1'b1; end else done <= 1'b0; generate if (FIRST_BIT == "MSB") assign rx_data = rx_data_; if (FIRST_BIT == "LSB") assign rx_data = funcReverse(rx_data_); endgenerate
///// SHIFT DATA ///// reg [9:0] data_buf; wire [7:0] data; always_ff @ (posedge clk, posedge reset) if (reset) data_buf <= '0; else if (busy & bit_mid) data_buf <= {data_buf[8:0],rxd_}; generate if (PARITY == "NO") assign data = data_buf[8:1]; if ((PARITY == "ODD")|(PARITY == "EVEN")) assign data = data_buf[9:2]; endgenerate
Здесь снова использована процедура generate … endgenerate, так как в зависимости от параметра PARITY полезные данные data окажутся в разных разрядах регистра data_buf. В описании модуля SingleRxUART.sv осталось привести фиксацию результата приема байта по UART:
Флаг parity_calc содержит результат вычисления ошибки паритета, который по окончании приема (busy & end_word) защелкивается в регистр parity_err. Полезные данные защелкиваются в промежуточный регистр rx_ data_. С помощью конструкции generate … endgenerate и функции funcReverse() полезные данные выставляются на выход модуля в зависимости от значения параметра FIRST_BIT, в котором указывается, как принимались данные — старшим битом вперед (MSB) или младшим (LSB). Строб done сигнализирует об окончании приема байта по UART с ПК. Временные диаграммы моделирования работы модуля SingleRxUART.sv приведены на рис. 3. На рис. 3 видно, что прием байта (0х53) по интерфейсу UART без контроля паритета занимает 86,8 мкс.
///// DONE DATA ///// ///// NUMBER BIT COUNTER ///// reg [3:0] cnt_bit; wire [3:0] len_word; wire end_word = (cnt_bit == len_word–1) & (bit_full); generate if (PARITY == "NO") assign len_word = 4'd10; if ((PARITY == "ODD")|(PARITY == "EVEN")) assign len_word = 4'd11; endgenerate
wire parity_calc; reg [7:0] rx_data_; generate if (PARITY == "NO") assign parity_calc = 1'b0; if (PARITY == "EVEN") assign parity_calc = (^data_buf[8:0]); if (PARITY == "ODD") assign parity_calc = ~(^data_buf[8:0]); endgenerate
Модуль RxUART_logic.sv Задача модуля — обработка данных, принимаемых от ПК. Это значит, что данные от ПК приходят на устройство по какому-то правилу — протоколу, который должен содержать команду CMD, длину пакета данных LEN, полезные данные DATA, а также контрольную сумму SUM (рис. 4).
always_ff @ (posedge clk, posedge reset) if (reset) busy <= 1'b0; else if (~busy & bit_mid) busy <= 1'b1; else if (busy & end_word) busy <= 1'b0; always_ff @ (posedge clk, posedge reset) if (reset) cnt_bit <= 4'd0; else if (~busy) cnt_bit <= 4'd0; else if (busy & bit_mid) cnt_bit <= cnt_bit + 4'h1;
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Рис. 4. Протокол обмена данными между ПК и устройством
www.kite.ru
компоненты
62
Модуль RxUART_logic.sv должен выделить из посылки номер команды, длину полезных данных, которые затем необходимо записать в ОЗУ, реализованной в модуле Memory.sv, а также в процессе приема посылки считать контрольную сумму всех принятых по UART байт. В случае верности посчитанной контрольной суммы посылки модуль должен сообщить об этом сигналом. Остановимся на деталях протокола. Командой CMD будет определяться, что требуется от устройства (на данный момент — переключение на другую конфигурацию или чтение номера текущей конфигурации). Далее идет байт LEN, говорящий о том, сколько следом за ним планируется принять полезных данных DATAx. В случае если принятый байт LEN оказывается равным 0, то имеется в виду, что идет прием посылки с количеством полезных байт 256. Контрольная сумма будет определяться как проинвертированная сумма всех принятых байт, а именно: SUM = ~(CMD + LEN + DATA0 + … + DATAn). Перейдем к описанию rtl-кода модуля RxUART_logic.sv. Приведем шапку модуля с параметром NUMBER и портами ввода/вывода: `include "inc_define.vh" module RxUART_logic #( parameter NUMBER = 256 ) ( input clk, input reset, input [7:0] rx_data, input rx_done, input timeout, output pck_done, output logic [7:0] cmd_rx, output logic [7:0] len_rx, output [7:0] wr_data, output [clogb2(NUMBER)–1:0] wr_addr, output logic wr_clock, output logic we );
Напомним интерфейс ввода/вывода. Входными данными модуля являются принятый байт данных rx_data, строб новых данных rx_done и строб превышения допустимой паузы между байтами пакета timeout. Выходными данными являются номер команды cmd_rx, длина полезных данных len_rx, строб окончания достоверно принятого пакета данных. Также выходными данными является интерфейс записи в память (Memory.sv) полезных данных. Перейдем к описанию тела модуля RxUART_logic.sv:
ПЛИС
Рис. 5. Временные диаграммы моделирования модуля RxUART_logic.sv
always_ff @ (posedge clk, posedge reset) if (reset) cnt_byte <= '1; else if (clr_addr) cnt_byte <= '1; else if (rx_done) cnt_byte <= cnt_byte + 1'b1;
Здесь описан 2‑разрядный сдвиговый регистр shift, на вход которого подан строб принятия нового байта rx_done. Смысл этой конструкции поясним далее. Также описан счетчик подсчета количества принятых байт cnt_byte, его инкремент и сигнал сброса счетчика clr_addr. logic [7:0] crc_calc; wire [clogb2(NUMBER):0] len_buf; always_ff @ (posedge clk, posedge reset) if (reset) begin cmd_rx <= '0; len_rx <= '0; end else begin if ((shift[0])&(cnt_byte == 0)) cmd_rx <= rx_data; if ((shift[0])&(cnt_byte == 1)) len_rx <= rx_data; end assign len_buf = (|len_rx) ? len_rx : 9'd256; always_ff @ (posedge clk, posedge reset) if (reset) crc_calc <= '0; else if ((shift[1])&(cnt_byte == 0)) crc_calc <= rx_data; else if ((shift[1])&(cnt_byte != 0)) crc_calc <= crc_calc + rx_data;
Здесь можно увидеть процесс защелкивания номера команды cmd_rx при значении счетчика cnt_byte, равного 0, и защелкивании длины полезных данных len_rx при следующем значении счетчика cnt_byte. Защелкивание произойдет при условии shift[0] = 1, то есть на следующем после rx_done такте clk, а значит, после того как произойдет инкремент счетчика cnt_byte. Во втором процессе описан подсчет контрольной суммы crc_calc принимаемого пакета. Опишем процесс записи полезных данных в память:
А также строб успешного окончания приема и посылки pck_done и условия сброса счетчика принятых байтов clk_addr в случае успешного приема или по тайм-ауту: assign pck_done = (cnt_byte == len_buf + 2)&(crc_calc == ~rx_data); assign clr_addr = pck_done | timeout;
На рис. 5 изображены временные диаграммы примера работы модуля RxUART_logic.sv. Здесь представлен пример обработки модулем RxUART_logic.sv посылки из шести байт. Первым байтом идет команда (0x74), затем количество передаваемых полезных данных (0х03), три байта данных (0x5A, 0x18, 0xF0) и байт контрольной суммы (0x26). По сигналу разрешения записи we видно, что записываются только нужные три байта. В случае успешного принятия пакета вырабатывается импульс pck_done. Модуль RxUART_timeout.sv Задача модуля — установка флага timeout в случае превышения паузы между отдельными байтами посылки. Это необходимо, когда в процессе приема посылки произойдет сбой в модуле RxUART_logic.sv. Именно флаг timeout позволит сбросить модуль RxUART_logic.sv в начальное состояние. Приведем шапку модуля: `include "inc_define.vh" module RxUART_timeout #( parameter int CLOCK = 50_000_000, parameter int BAUD = 115_200, parameter PARITY = "NO", parameter TIMEOUT = 10 ) ( input clk, input reset, input rx_done, input rx_busy, output logic timeout );
assign wr_data = rx_data; assign wr_addr = cnt_byte – 2;
logic [1:0] shift; wire clr_addr; logic [clogb2(NUMBER):0] cnt_byte;
always_ff @ (posedge clk, posedge reset) if (reset) we <= 1'b0; else if ((cnt_byte > 1)&(cnt_byte < len_buf + 2)) we <= |shift;
always_ff @ (posedge clk, posedge reset) if (reset) shift <= '0; else shift <= {shift[0],rx_done};
always_ff @ (posedge clk, posedge reset) if (reset) wr_clock <= 1'b0; else wr_clock <= shift[1];
localparam real factor_real = CLOCK/BAUD; localparam int factor_int = factor_real;
Для расчета длительности бита UART необходимы параметры CLOCK и BAUD. Параметром TIMEOUT как раз и задается длительность паузы тайм-аута в количествах
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
ПЛИС
компоненты
63
байта UART. В данном проекте в случае разницы во времени между принимаемыми по UART байтами больше длительности десяти байт UART должен сработать флаг timeout. Входными сигналами модуля являются rx_done и rx_busy — строб приема нового байта и флаг процесса приема от модуля SingleRxUART.sv. Перейдем к описанию rtl-кода тела модуля: logic [4:0] rx_done_buf; wire start_timeout;
Рис. 6. Временные диаграммы моделирования модуля RxUART_timeout.sv always_ff @ (posedge clk, posedge reset) if (reset) rx_done_buf <= '0; else rx_done_buf <= {rx_done_buf[3:0],rx_done}; assign start_timeout = (!rx_done_buf[4])&(rx_done_buf[3]);
Здесь start_timeout служит импульсом начала подсчета паузы. Данный сигнал является детектором фронта rx_done, проходящим через сдвиговый регистр rx_done_buf. Поскольку оба модуля, SingleRxUART.sv и RxUART_timeout. sv, работают в одном частотном домене, можно было бы обойтись без конструкции детектора фронта (det = (!reg[n])&(reg[n–1])), но автор решил воспользоваться им, так как в других проектах часто сопряженные модули могут находиться в разных частотных доменах, а значит, необходима синхронизация сигналов между ними. Поэтому можно применить данную конструкцию и здесь. Работа модуля RxUART_timeout.sv основана на счетчике длины бита, счетчике длины байта и счетчике байтов. Счетчик длины бита len_bit: logic [clogb2(factor_int)–1:0] len_bit; wire clr_len_bit = (len_bit == factor_int–1); always_ff @ (posedge clk, posedge reset) if (reset) len_bit <= '1; else if (start_timeout | clr_len_bit | rx_busy) len_bit <= '0; else len_bit <= len_bit + 1'b1;
Счетчик длины байта len_byte: wire [3:0] num_bit; logic [3:0] len_byte; generate if (PARITY == "NO") assign num_bit = 4'd10; else if ((PARITY == "ODD")|(PARITY == "EVEN")) assign num_bit = 4'd11; endgenerate
Осталось описать процесс установки флага timeout: always_ff @ (posedge clk, posedge reset) if (reset) timeout <= 1'b1; else if (rx_busy) timeout <= 1'b0; else if ((cnt_byte == TIMEOUT–1)&(clr_len_byte)) timeout <= 1'b1;
На рис. 6 представлены временные диаграммы работы модуля RxUART_timeout. sv. Для компактности изображения параметр TIMEOUT указан равным 2, а не 10. Также для лучшей наглядности при моделировании были установлены параметры CLOCK = 10_000_000, BAUD = 1_000_000. На временных диаграммах хорошо видно, что, когда пауза между принимаемыми байтами UART равна времени приема одного байта, тайм-аут не срабатывает и следующий байт воспринимается как часть посылки. В случае же паузы между байтами, равной 2 байт, срабатывает флаг timeout и счетчик принимаемых байт в модуле RxUART_logic. sv сбрасывается в исходное состояние. С описанием модуля Receiver.sv закончено; таким образом, начинающий «плисовод» познакомился с тем, как можно организовать прием и обработку пакета данных по асинхронному интерфейсу UART. Модуль Transmitter.sv Рассмотрим внутреннюю структуру модуля Transmitter.sv (рис. 7), работа которого заключается в передаче посылок с данными на ПК.
Модуль Transmitter.sv состоит из двух подмодулей: • SingleTxUART.sv обеспечивает передачу одного байта по UART, передав ему в качестве параметров частоту тактирования модуля (CLOCK), скорость UART (BAUD) и др. • TxUART_logic.sv. В задачу модуля входит составление пакета на передачу. По стробу start начинается передача пакета по такому же принципу, как и у приемного пакета (рис. 4), то есть первыми в передающем пакете идут команда cmd_tx и len_tx. Далее модуль считывает из памяти требуемое количество байт через интерфейс rd_data, rd_addr, rd_clock, а также считает контрольную сумму. Перейдем к описанию rtl-кода модуля Transmitter.sv. Шапка модуля: `include "inc_define.vh" module Transmitter #( parameter int CLOCK = 50_000_000, parameter int BAUD = 115_200, parameter PARITY = "NO", parameter FIRST_BIT = "LSB", parameter NUMBER = 256, parameter PAUSE = 0 ) ( input clk, input reset, output txd, input start, input [7:0] cmd_tx, input [7:0] len_tx, input [7:0] rd_data, output [clogb2(NUMBER)–1:0] rd_addr, output rd_clock );
wire clr_len_byte = (len_byte == num_bit–1)&(clr_len_bit); always_ff @ (posedge clk, posedge reset) if (reset) len_byte <= '1; else if (rx_busy | clr_len_byte) len_byte <= '0; else if (clr_len_bit) len_byte <= len_byte + 1'b1;
Счетчик байт cnt_byte: logic [clogb2(TIMEOUT):0] cnt_byte; always_ff @ (posedge clk, posedge reset) if (reset) cnt_byte <= '1; else if (rx_busy) cnt_byte <= '0; else if (clr_len_byte) cnt_byte <= cnt_byte + 1'b1;
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Рис. 7. Структурная схема модуля Transmitter.sv
www.kite.ru
компоненты
64
ПЛИС
Назначение указанных параметров в шапке модуля уже было описано выше при рассмотрении модуля top-level. Назначение портов ввода/вывода можно понять из структурной схемы всего проекта (рис. 1), а также далее, при рассмотрении описания модуля Transmitter.sv. Внутренние сигналы модуля: ///// SIGNALS ///// wire single_start; wire [7:0] tx_data;
Восьмибитная шина tx_data является байтом, который необходимо передать на ПК. Сигнал single_start — строб начала передачи байта. Подключение модуля SingleTxUART.sv — реализующий процесс передачи байта: ///// TRANSMIT SINGLE BYTE ///// defparam SingleTxUART.CLOCK = CLOCK; defparam SingleTxUART.BAUD = BAUD; defparam SingleTxUART.PARITY = PARITY; defparam SingleTxUART.FIRST_BIT = FIRST_BIT; SingleTxUART SingleTxUART ( .clk (clk), .reset (reset), .start (single_start), .tx_data (tx_data), .busy (), .txd (txd) );
Рис. 8. Временные диаграммы моделирования модуля SingleTxUART.sv
Модуль SingleTxUART.sv Шапка модуля с описанием параметров, портов, а также вычисление локальных параметров, необходимых в rtl-коде данного модуля: `include "inc_define.vh" module SingleTxUART #( parameter int CLOCK = 50_000_000, parameter int BAUD = 115_200, parameter PARITY = "NO", parameter FIRST_BIT = "LSB" ) ( input clk, reset, output logic txd, input start, input [7:0] tx_data, output logic busy ); ///// PARAMETERS /////
Для реализации параметризируемого модуля SingleTxUART.sv необходимы следующие параметры: тактовая частота работы модуля CLOCK, скорость обмена данными BAUD, тип проверки достоверности PARITY и порядок следования бит в байте FIRST_BIT. Порт busy оставлен неподключенным, так как данный флаг в этом проекте не нужен. Подключение модуля TxUART_logic.sv: ///// TRANSMIT PACKET ///// defparam TxUART_logic.CLOCK = CLOCK; defparam TxUART_logic.BAUD = BAUD; defparam TxUART_logic.PARITY = PARITY; defparam TxUART_logic.NUMBER = NUMBER; defparam TxUART_logic.PAUSE = PAUSE; TxUART_logic TxUART_logic ( .clk (clk), .reset (reset), .tx_data (tx_data), .tx_start (single_start), .start_pckt (start), .cmd_tx (cmd_tx), .len_tx (len_tx), .rd_data (rd_data), .rd_addr (rd_addr), .rd_clock (rd_clock) ); endmodule
Параметры CLOCK и BAUD необходимы для расчета паузы между байтами в передающем пакете. Также понадобится параметр NUMBER — максимальное количество передаваемых полезных данных и длительность паузы между байтами PAUSE в количествах бит на скорости BAUD. Назначение портов ввода/вывода будет описано при описании rtl-кода модуля TxUART_logic.sv. Перейдем к rtl-коду каждого из обоих модулей, составляющих модуль TxUART_logic.sv.
localparam real factor_real = CLOCK/BAUD; localparam int factor_int = factor_real;
По импульсу start требуемый байт tx_data защелкивается, и начинается передача его на линии txd. Процесс передачи сигнализируется флагом busy. Назначение локальных параметров factor_real и factor_int точно такое же, как в описании модуля SingleRxUART.sv. Представим формирование слова на передачу с требуемыми параметрами: ///// MAKE WORD ///// wire parity_bit; wire [7:0] tx_data_; wire [10:0] data_wire; logic [10:0] data_buf; generate if (PARITY == "ODD") assign parity_bit = ~(^(tx_data)); if (PARITY == "EVEN") assign parity_bit = ^(tx_data); endgenerate generate if (FIRST_BIT == "MSB") assign tx_data_ = tx_data; if (FIRST_BIT == "LSB") assign tx_data_ = funcReverse(tx_data); endgenerate generate if (PARITY == "NO") assign data_wire = {1'b0,tx_data_,1'b1}; if ((PARITY == "ODD")|(PARITY == "EVEN")) assign data_wire = {1'b0,tx_data_,parity_bit,1'b1}; endgenerate always_ff @ (posedge clk, posedge reset) if (reset) data_buf <= '0; else if (start) data_buf <= data_wire;
В зависимости от параметров рассчитывается бит паритета parity_bit, порядок следования бит tx_data_, формируется слово на передачу data_wire и защелкивается в data_buf по импульсу start. ///// COUNT WORD ///// logic [clogb2(factor_int)-1:0] len_bit; wire clr_len_bit = (len_bit == factor_int–1); logic [3:0] cnt_bit; wire [3:0] width_word; always_ff @ (posedge clk, posedge reset) if (reset) len_bit <= '0; else if (start) len_bit <= '0; else if (clr_len_bit) len_bit <= '0; else if (busy) len_bit <= len_bit + 1'b1; generate if (PARITY == "NO") assign width_word = 4'd10; if ((PARITY == "ODD")|(PARITY == "EVEN")) assign width_word = 4'd11; endgenerate always_ff @ (posedge clk, posedge reset) if (reset) cnt_bit <= '0; else if (start) cnt_bit <= width_word–1; else if ((cnt_bit != '0)&(clr_len_bit)) cnt_bit <= cnt_bit – 1'b1;
Здесь описан подсчет длины бита len_bit и номер бита в передающемся слове cnt_bit. В зависимости от параметра PARITY длина (width_word) передающего слова может быть разной за счет наличия или отсутствия бита паритета. Окончание описание модуля — условие установки флага busy и выходной мультиплексор для выставления на выход txd всех бит передающего слова data_buf: ///// MUX OUT ///// always_ff @ (posedge clk, posedge reset) if (reset) busy <= 1'b0; else if (start) busy <= 1'b1; else if ((cnt_bit == '0) & (clr_len_bit)) busy <= 1'b0; always_ff @(posedge clk, posedge reset) if (reset) txd <= 1'b1; else if ((busy)&(!start)) txd <= data_buf[cnt_bit]; else txd <= 1'b1; endmodule
На рис. 8 представлены временные диаграммы работы модуля SingleTxUART.sv, на которых показан пример отправки байта 0x43, со следующими параметрами модуля: CLOCK = 8_000_000, BAUD = 1_000_000, PARITY = “NO”, FIRST_BIT = “LSB”.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
ПЛИС
Здесь можно видеть, что передача посылки инициируется после прихода импульса start: в регистр data_buf загружается посылка (данные tx_data и служебные биты), устанавливается значение счетчика cnt_bit и флаг busy. Также можно заметить, как по импульсу clr_len_bit происходит декремент счетчика бит cnt_bit и соответственно ему мультиплексор выставляет на выход txd нужный рязряд передаваемого байта. Модуль TxUART_logic.sv Шапка модуля с описанием параметров, портов, а также вычисление локальных параметров, необходимых в rtl-коде данного модуля: `include "inc_define.vh" module TxUART_logic #( parameter int CLOCK = 50_000_000, parameter int BAUD = 115_200, parameter PARITY = "NO", parameter NUMBER = 256, parameter PAUSE = 0 ) ( input clk, input reset, output logic [7:0] tx_data, output logic tx_start, input start_pckt, input [7:0] cmd_tx, input [7:0] len_tx, input [7:0] rd_data, output [clogb2(NUMBER)–1:0] rd_addr, output logic rd_clock ); localparam real factor_real = CLOCK/BAUD; localparam int factor_int = factor_real;
Перейдем к рассмотрению тела модуля TxUART_logic.sv: ///// STROBs and COUNTER ///// logic [2:0] delay_reg; wire next_byte; wire incr_byte, load_byte, start_byte, sum_and_read; logic [clogb2(NUMBER):0] cnt_byte; always_ff @ (posedge clk, posedge reset) if (reset) delay_reg <= '0; else delay_reg <= {delay_reg[1:0],start_pckt | next_byte}; assign incr_byte = delay_reg[2]; assign load_byte = delay_reg[0]; assign start_byte = delay_reg[1]; assign sum_and_read = delay_reg[1]; always_ff @ (posedge clk, posedge reset) if (reset) cnt_byte <= '1; else if (start_pckt) cnt_byte <= '0; else if (incr_byte) cnt_byte <= cnt_byte + 1'b1;
В приведенном коде реализован счетчик байтов на передачу cnt_byte, а также необходимые стробы: incr_byte — строб, разрешающий инкремент счетчика, load_ byte — подготавливается байт на передачу, start_byte — начало передачи текущего байта, sum_and_read — разрешение счета контрольной суммы и чтение данных из памяти. Буфер delay_reg — это регистр сдвига, необходимый для выделения упомянутых стробов в нужной последовательности. Опишем процессы загрузки байт на передачу и инициации самой передачи: ///// BYTEs TRANSMIT ///// logic [7:0] sum_calc; wire [clogb2(NUMBER):0] num_byte; assign num_byte = len_tx + 2;
Среди портов ввода/вывода модуля можно различить интерфейс взаимодействия с модулем SingleTxUART.sv (tx_data и tx_start), интерфейс с модулем MainControl.sv (start_ pckt, cmd_tx, len_tx) и интерфейс с модулем Memory.sv (rd_data, rd_addr, rd_clock). Инициирует передачу пакета на ПК импульс start_pckt при выставленной команде на передачу cmd_tx и размер len_tx полезных данных, которые необходимо прочитать из модуля памяти Memory_sv.
always_ff @ (posedge clk, posedge reset) if (reset) tx_data <= '0; else if ((load_byte)&(cnt_byte == 0)) tx_data <= cmd_tx; else if ((load_byte)&(cnt_byte == 1)) tx_data <= len_tx; else if ((load_byte)&(cnt_byte < num_byte)) tx_data <= rd_data; else if ((load_byte)&(cnt_byte == num_byte)) tx_data <= ~sum_calc; always_ff @ (posedge clk, posedge reset) if (reset) tx_start <= 1'b0; else if (start_byte) tx_start <= 1'b1; else tx_start <= 1'b0;
Первыми двумя байтами на передачу пойдут номер команды cmd_tx и длина полезных
Рис. 9. Временные диаграммы моделирования модуля TxUART_logic.sv
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
компоненты
65
данных len_tx, поэтому загружаются в регистр tx_data они при cnt_byte = 0 и cnt_byte = 1 соответственно. Следом (при cnt_byte < num_ byte) загружаются полезные данные в размере len_tx. Последним загружается байт контрольной суммы при cnt_byte = num_byte. Импульс tx_start инициирует передачу текущего байта модулем SingleTxUART.sv. Опишем процесс подсчета контрольной суммы и чтение полезных данных из памяти: ///// SUM and READ ///// always_ff @ (posedge clk, posedge reset) if (reset) sum_calc <= '0; else if ((sum_and_read)&(cnt_byte == 0)) sum_calc <= cmd_tx; else if ((sum_and_read)&(cnt_byte != 0)) sum_calc <= sum_calc + tx_data; assign rd_addr = (cnt_byte – 1); always_ff @ (posedge clk, posedge reset) if (reset) rd_clock <= 1'b0; else if (sum_and_read) rd_clock <= 1'b1; else rd_clock <= 1'b0;
Осталось только описать процессы, реализующие начало отправки следующих байтов с учетом значения паузы PAUSE: ///// PAUSE between BYTEs ///// logic [clogb2(factor_int)–1:0] len_bit; wire cnt_bit_incr; wire [clogb2(PAUSE)+3:0] num_pause; logic [clogb2(PAUSE)+3:0] cnt_bit; always_ff @ (posedge clk, posedge reset) if (reset) len_bit <= '0; else if (start_pckt | cnt_bit_incr | next_byte) len_bit <= '0; else len_bit <= len_bit + 1'b1; assign cnt_bit_incr = (len_bit == factor_int–1); always_ff @ (posedge clk, posedge reset) if (reset) cnt_bit <= '0–1; else if (start_pckt | next_byte) cnt_bit <= '0; else if (cnt_bit_incr) cnt_bit <= cnt_bit + 1'b1; generate if (PARITY == "NO") assign num_pause = PAUSE + 9; if ((PARITY == "ODD")|(PARITY == "EVEN")) assign num_pause = PAUSE + 10; endgenerate assign next_byte = (cnt_bit == num_pause)& (cnt_byte <= num_byte)& (cnt_bit_incr); endmodule
Выше описаны процессы сброса и инкремента счетчика длины одного бита len_bit, сброса и инкремента счетчика бит cnt_bit, а также условие срабатывания строба next_ byte, после которого начинает передаваться следующий байт в посылке. На рис. 9 представлены временные диаграммы работы модуля TxUART_logic.sv. На данной диаграмме показан пример отправки посылки со следующими параметрами модуля: CLOCK = 8_000_000, BAUD = 1_000_000, PARITY = “NO”, FIRST_BIT = “LSB”, RX_TIMEOUT = 2. Видно, что первым байтом отправляется команда 0x43, затем идет байт длины пакета 0х03, три байта данных 0x21, 0x81, 0x09 и в самом конце посчитанная контрольная сумма sum_calc = 0х0b. Временными маркерами показана длина паузы между байwww.kite.ru
66
компоненты
ПЛИС
тами в пакете, равная 2000 нс, что соответствует 2 бит при скорости 1 Мбод. Часть проекта, отвечающая за информационный обмен между отладочной платой и ПК, закончена. Модуль Memory.sv Модуль содержит два подмодуля, описывающих две RAM-памяти для принимаемых с ПК данных и для данных, которые необходимо передать на ПК. Структурная схема модуля представлена на рис. 10. Данные хранятся именно полезные, без заголовка, длины и собственно контрольной суммы. Шапка модуля: `include "inc_define.vh" module Memory #( parameter NUMBER = 1 ) ( input [7:0] wr_rx_data, input [clogb2(NUMBER)–1:0] wr_rx_addr, input wr_rx_clock, input we_rx, output [7:0] rd_rx_data, output [clogb2(NUMBER)–1:0] rd_rx_addr, input rd_rx_clock, input [7:0] wr_tx_data, input [clogb2(NUMBER)–1:0] wr_tx_addr, input wr_tx_clock, input we_tx, output [7:0] rd_tx_data, input [clogb2(NUMBER)–1:0] rd_tx_addr, input rd_tx_clock );
Единственным параметром модуля является NUMBER — размер памяти для прием-
Рис. 10. Структурная схема модуля Memory.sv
ного и передающего пакетов в количествах байт. Порты ввода/вывода: • Интерфейс для записи приемного пакета: wr_rx_data, wr_rx_addr, wr_rx_clock, we_rx; • Интерфейс для чтения приемного пакета: rd_rx_data, rd_rx_addr, rd_rx_clock; • Интерфейс для записи передающего пакета: wr_tx_data, wr_tx_addr, wr_tx_clock, we_tx; • Интерфейс для чтения передающего пакета: rd_tx_data, rd_tx_addr, rd_tx_clock; Далее приведено подключение двух модулей DualPortDualClock_ram.sv, экземплярами которого являются изображенные на рис. 10 подмодули RxRAM и TxRAM:
defparam RxRAM.DATA_WIDTH = 8; defparam RxRAM.ADDR_WIDTH = clogb2(NUMBER); DualPortDualClock_ram RxRAM ( .wr_data (wr_rx_data), .rd_addr (rd_rx_addr), .wr_addr (wr_rx_addr), .we (we_rx), .rd_clock (rd_rx_clock), .wr_clock (wr_rx_clock), .rd_data (rd_rx_data) ); defparam TxRAM.DATA_WIDTH = 8; defparam TxRAM.ADDR_WIDTH = clogb2(NUMBER); DualPortDualClock_ram TxRAM ( .wr_data (wr_tx_data), .rd_addr (rd_tx_addr), .wr_addr (wr_tx_addr), .we (we_tx), .rd_clock (rd_tx_clock), .wr_clock (wr_tx_clock), .rd_data (rd_tx_data) ); endmodule
Рис. 11. Рекомендованное исполнение ОЗУ
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
ПЛИС
компоненты
67
Обоим подмодулям передается два параметра: ширина шины данных DATA_WIDTH и шины адреса ADDR_WIDTH. Rtl-код модуля DualPortDualClock_ram.sv в статье приводиться не будет, так как необходимо использовать код, рекомендованный конкретным производителем ПЛИС, чтобы описанная в коде память была реализована именно в аппаратных блоках ОЗУ ПЛИС, а не на логических элементах. Поскольку в проекте используется ПЛИС фирмы Intel, то рекомендованный код можно найти в САПР Quartus Prime: Edit → Insert Template, далее нужно найти Simple Dual Port RAM (dual clock), как показано на рис. 11. Модуль MainControl.sv Следует напомнить, что данный модуль выполняет функции «арбитра». Основан на конечном автомате, который определяет, какие действия нужно отправлять в зависимости от принятой команды. Структурная схема модуля MainControl.sv изображена на рис. 12. Модуль MainControl.sv состоит из трех подмодулей: • StateMachine.sv — конечный автомат [4, 5]; • ReadBytes.sv — читает 4 байт из ОЗУ памяти модуля RxRAM; • WriteBytes.sv — записывает 4 байт в ОЗУ памяти модуля TxRAM. Почему именно четыре байта, будет ясно при реализации динамической перепрошивки рабочей конфигурации в CFMx. Далее рассмотрим rtl-код модуля MainControl.sv. Шапка модуля: `include "inc_define.vh" module MainControl #( parameter NUMBER = 256 ) ( input clk, input reset, input start_rx, input [7:0] cmd_rx, len_rx, output logic start_tx, output logic [7:0] cmd_tx, len_tx, input [7:0] rd_data, output logic [clogb2(NUMBER)–1:0] rd_addr, output logic rd_clock, output logic [7:0] wr_data, output logic [clogb2(NUMBER)–1:0] wr_addr, output logic wr_clock, output logic we, output logic start_setimg, output logic start_getimg, output logic [1:0] setimg, input done_getimg, input [3:0] getimg );
Модуль принимает единственный параметр NUMBER, от значения которого зависит размер шины адреса для записи и чтения данных. Порты ввода/вывода: стробы приема и отправки пакета (start_rx, start_tx), номер команды и размер данных (cmd_rx, len_rx) принятого пакета, номер команды и размер данных пакета на передачу (cmd_tx, len_tx), интерфейс памяти принятого пакета (rd_data, rd_addr,
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Рис. 12. Структурная схема модуля MainControl.sv
rd_clock), интерфейс памяти передающего пакета (wr_data, wr_addr, wr_clock, we). Следующие сигналы необходимы для переключения между двумя конфигурациями ПЛИС и для чтения номера текущей конфигурации: • start_setimg, start_getimg — cтробы для начала переключения или чтения конфигураций соответственно; • setimg [1] — бит ru_config_sel ([1], табл. 3), выбор конфигурации, на которую требуется перейти; • setimg [0] — бит ru_config_sel_overwrite ([1], табл. 3), разрешение смены конфигурации; • done_getimg — стоб окончания чтения номера текущей конфигурации; • getimg — 4 бита msm_cs в формате из [1], таблица 2. Внутренние сигналы, связывающие между собой подмодули: wire start_rd_ram, done_rd_ram; wire start_wr_ram, done_wr_ram; wire [clogb2(NUMBER)–1:0] start_rd_addr, start_wr_addr; wire [31:0] rd_word, wr_word;
Подключение модуля конечного автомата: defparam StateMachine.NUMBER = NUMBER; StateMachine StateMachine ( .clk (clk), .reset (reset), .start_rx (start_rx), .cmd_rx (cmd_rx), .len_rx (len_rx), .start_tx (start_tx), .cmd_tx (cmd_tx), .len_tx (len_tx), .start_rd_ram (start_rd_ram), .done_rd_ram (done_rd_ram), .start_rd_addr (start_rd_addr), .rd_word (rd_word), .start_wr_ram (start_wr_ram), .done_wr_ram (done_wr_ram), .start_wr_addr (start_wr_addr), .wr_word (wr_word), .start_setimg (start_setimg), .start_getimg (start_getimg), .setimg (setimg), .done_getimg (done_getimg), .getimg (getimg) );
Описание сигналов и портов ввода/вывода модуля StateMachine.sv будет представлено ниже, а также при рассмотрении rtl-кода данного модуля. Подключение двух подмодулей, обеспечивающих чтение и запись 4 байт в память ОЗУ ПЛИС: defparam ReadBytes.NUMBER = NUMBER; ReadBytes ReadBytes ( .clk (clk), .reset (reset), .start (start_rd_ram), .done (done_rd_ram), .addr (start_rd_addr), .word (rd_word), .rd_data (rd_data), .rd_addr (rd_addr), .rd_clock (rd_clock) ); defparam WriteBytes.NUMBER = NUMBER; WriteBytes WriteBytes ( .clk (clk), .reset (reset), .start (start_wr_ram), .done (done_wr_ram), .addr (start_wr_addr), .word (wr_word), .wr_data (wr_data), .wr_addr (wr_addr), .wr_clock (wr_clock), .we (we) ); endmodule
Модуль ReadBytes.sv обеспечивает чтение четырех байт из памяти RxRAM (в описании модуля Memory.sv) начиная с адреса start_rd_addr. Чтение инициируется импульсом start_rd_ram, и по импульсу окончания чтения done_rd_ram прочитанные из памяти данные доступны в 4‑байтном слове rd_word. Модуль WriteBytes.sv обеспечивает запись 4‑байтного слова wr_word в память TxRAM начиная с адреса start_wr_addr, и по импульсу done_wr_ram запись заканчивается. Запись инициируется импульсом start_wr_addr. Модуль StateMachine.sv Данный модуль описывает конечный автомат, в задачу которого входит выполнение последовательности действий, таких как распознание принятой команды, подготовка данных и инициирование запуска других модулей проекта. www.kite.ru
68
компоненты
Перед реализацией конечного автомата необходимо составить блок-схему состояний, перехода между состояниями и выставление сигналов в каждом из состояний. На данный момент конечный автомат должен распознать две команды — переключение конфигурации и чтение текущей конфигурации, а значит, конечный автомат будет иметь две основные ветки состояний и перехода между ними. Необходимо рассмотреть каждую из веток в отдельности. На рис. 13 представлена блок-схема конечного автомата при принятой команде на переключение между конфигурациями ПЛИС. Оранжевым цветом обозначены названия каждого из состояний, синим цветом — условия перехода между состояниями, стрелками — направления перехода, а зеленым цветом — какие сигналы нужно устанавливать в каждом из состояний конечного автомата. Итак, после подачи питания на ПЛИС и установки всех сигналов и регистров в начальное состояние (reset) автомат попадает в состояние ожидания прихода команды с ПК (IDLE_ST). По приходе любой команды устанавливается флаг fl_rx. Далее автомат переходит в состояние определения принятой команды (RX_CMD_ST), и в случае команды на переключение parSETIMG идет переход на SETIMG_ST. Здесь начинается чтение принятых данных (на какую конфигурацию переключать) посредством подачи импульса start_rd_ram. Ожидание окончания чтения данных реализовано в состоянии SETIMG_WAIT_RD_RAM_ST — автомат ждет установки импульса done_rd_ram в логическую единицу. Далее, в состоянии SETIMG_START_ST подается информация о переключаемой конфигурации (setimg) и импульс на начало переключения (start_ setimg) для модуля ImageControl.sv. В состо-
ПЛИС
Рис. 13. Блок-схема конечного автомата команды на переключение конфигурации
янии CLR_FL_ST, как догадывается читатель, происходит сброс всех флагов и возвращение в состояние ожидания. На рис. 14 представлена блок-схема конечного автомата при принятой команде на чтение номера текущей конфигурации ПЛИС. В случае принятия команды на чтение номера текущей конфигурации (parGETIMG), автомат переходит из состояния RX_CMD_ST в GETIMG_ST и далее через безусловный переход — в GETIMG_START_ST. В данном состоянии устанавливается сигнал start_getimg, который в модуле ImageControl.sv должен
инициировать процесс чтения номера конфигурации. Работа модуля ImageControl. sv будет описана ниже, но уже сейчас можно сказать, что по окончании выполнения им команды на чтение конфигурации будет установлен определенный флаг, который на данном этапе реализации проекта служит флагом начала подготовки автомата к передаче пакета на ПЛИС. Таким образом, находясь в состоянии IDLE_ST, при установке флага fl_tx конечный автомат перейдет в состояние TX_CMD_ST. Если командой для отправки является
Рис. 14. Блок-схема конечного автомата команды на чтение номера конфигурации
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
ПЛИС
parGETIMG, то идет переход на GETIMG_ START_WR_RAM_ST. В данном состоянии подготавливаются данные (номер текущей конфигурации) для записи в память TxRAM (подмодуль модуля Memory.sv). Затем выполняется безусловный переход на GET_WAIT_WR_RAM_ST, где автомат находится в ожидании окончания записи в память TxRAM (флаг done_wr_ram). В состоянии GETIMG_DONE_TX_ST устанавливается флаг start_tx, который для модуля Transmitter.sv является импульсом к началу передачи пакета на ПК. Теперь можно перейти к реализации rtlкода модуля StateMachine.sv, «скрестив» обе блок-схемы, изображенные на рис. 13 и 14. Шапка модуля: `include "inc_define.vh" module StateMachine #( parameter NUMBER = 256 ) ( input clk, input reset, input start_rx, input [7:0] cmd_rx, len_rx, output logic start_tx, output logic [7:0] cmd_tx, len_tx, output logic start_rd_ram, input done_rd_ram, output logic [clogb2(NUMBER)–1:0] start_rd_addr, input [31:0] rd_word, output logic start_wr_ram, input done_wr_ram, output logic [clogb2(NUMBER)–1:0] start_wr_addr, output logic [31:0] wr_word, output logic start_setimg, output logic start_getimg, output logic [1:0] setimg, input done_getimg, input [3:0] getimg );
Объявления параметров и список состояний конечного автомата: localparam [7:0] parSETIMG = 8'h53, parGETIMG = 8'h43; enum { IDLE_ST, RX_CMD_ST, SETIMG_ST, SETIMG_WAIT_RD_RAM_ST, SETIMG_START_ST, GETIMG_ST, GETIMG_START_ST, TX_CMD_ST, GETIMG_START_WR_RAM_ST, GETIMG_WAIT_WR_RAM_ST, GETIMG_DONE_TX_ST, CLR_FL_ST } state, next;
Можно догадаться, что первыми двумя строками объявлены два параметра — команды parSETIMG (команда на переключение конфигурации) и parGETIMG (команда на чтение номера текущей конфигурации). С помощью конструкции enum перечислены все состояния конечного автомата, изображенные на рис. 13, 14. Таким способом объявлены два регистра: state — текущее состояние конечного автомата, и next — следующее состояние.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Код установки и сброса флагов fl_rx и fl_tx: logic fl_rx, fl_tx, clr_fl; wire set_fl_tx; assign set_fl_tx = done_getimg; always_ff @ (posedge clk, posedge reset) if (reset) begin fl_rx <= 1'b0; fl_tx <= 1'b0; end else begin if (start_rx) fl_rx <= 1'b1; else if (clr_fl) fl_rx <= 1'b0; if (set_fl_tx) fl_tx <= 1'b1; else if (clr_fl) fl_tx <= 1'b0; end
Теперь можно перейти к описанию самого конечного автомата. Существует три вида реализации конечного автомата — с одним, двумя и тремя последовательностными блоками (для языка Verilog/SystemVerilog — always-блоки). Особенности их реализации можно изучить в [3, 4]. Автор предпочитает описывать конечный автомат с помощью трех always-блоков. Один always — технический блок, защелкивание значения следующего состояния. Второй — условия перехода между состояниями. Третий — действия управляющими сигналами. Хотя для синтезатора абсолютно неважно, как именно описан конечный автомат. Технический блок: always_ff @ (posedge clk, posedge reset) if (reset) state <= IDLE_ST; else state <= next;
Блок перехода: always_comb begin case (state) IDLE_ST: if (fl_rx) next = RX_CMD_ST; else if (fl_tx) next = TX_CMD_ST; else next = IDLE_ST; RX_CMD_ST: if (cmd_rx == parSETIMG) next = SETIMG_ST; else if (cmd_rx == parGETIMG) next = GETIMG_ST; else next = CLR_FL_ST; SETIMG_ST: next = SETIMG_WAIT_RD_RAM_ST; SETIMG_WAIT_RD_RAM_ST: if (done_rd_ram) next = SETIMG_START_ST; else next = SETIMG_WAIT_RD_RAM_ST; SETIMG_START_ST: next = CLR_FL_ST; GETIMG_ST: next = GETIMG_START_ST; GETIMG_START_ST: next = CLR_FL_ST; TX_CMD_ST: if (cmd_tx == parGETIMG) next = GETIMG_START_WR_RAM_ST; else next = CLR_FL_ST; GETIMG_START_WR_RAM_ST: next = GETIMG_WAIT_WR_RAM_ST; GETIMG_WAIT_WR_RAM_ST: if (done_wr_ram) next = GETIMG_DONE_TX_ST; else next = GETIMG_WAIT_WR_RAM_ST; GETIMG_DONE_TX_ST: next = CLR_FL_ST; CLR_FL_ST: next = IDLE_ST; default: next = IDLE_ST; endcase end
Здесь описаны условия перехода от одного состояния конечного автомата к другому,
компоненты
69
другими словами, то, что отображено синим цветом на рис. 13, 14. Блок действий: always_ff @ (posedge clk, posedge reset) if (reset) begin cmd_tx <= '0; start_rd_ram <= 1'b0; start_wr_ram <= 1'b0; start_rd_addr <= '0; start_setimg <= 1'b0; start_getimg <= 1'b0; setimg <= 2'b00; wr_word <= '0; start_tx <= 1'b0; len_tx <= '0; clr_fl <= 1'b0; end else case (next) IDLE_ST:begin start_setimg <= 1'b0; start_getimg <= 1'b0; start_tx <= 1'b0; clr_fl <= 1'b0; end RX_CMD_ST: cmd_tx <= cmd_rx; SETIMG_ST:begin start_rd_ram <= 1'b1; start_rd_addr <= '0; end SETIMG_WAIT_RD_RAM_ST: begin start_rd_ram <= 1'b0; end SETIMG_START_ST: begin start_setimg <= 1'b1; setimg <= rd_word[1:0]; end GETIMG_ST:; GETIMG_START_ST: begin start_getimg <= 1'b1; end TX_CMD_ST:; GETIMG_START_WR_RAM_ST: begin wr_word <= {24'd0,4'h0,getimg}; start_wr_ram <= 1'b1; start_wr_addr <= '0; end GETIMG_WAIT_WR_RAM_ST: start_wr_ram <= 1'b0; GETIMG_DONE_TX_ST: begin len_tx <= 8'd1; start_tx <= 1'b1; end CLR_FL_ST: begin clr_fl <= 1'b1; start_setimg <= 1'b0; start_getimg <= 1'b0; start_tx <= 1'b0; end endcase endmodule
В блоке действий реализована установка сигналов и регистров так, как отображено зеленым цветом на рис. 13, 14. По сигналу сброса reset все сигналы управления устанавливаются в начальное значение, а далее в зависимости от того, в каком состоянии находится автомат, происходит установка/сброс различных сигналов и регистров. Нет необходимости подробно расписывать каждое состояние, поскольку это ясно из рис. 13, 14. Модуль ReadBytes.sv Модуль ReadBytes.sv реализован, чтобы не усложнять конечный автомат однообразными процессами чтения байт из памяти. Шапка модуля: `include "inc_define.vh" module ReadBytes #( parameter NUMBER = 256 ) ( input clk, input reset, input start, input [clogb2(NUMBER)–1:0] addr, output logic [31:0] word, output logic done, input [7:0] rd_data, output logic [clogb2(NUMBER)–1:0] rd_addr, output logic rd_clock );
www.kite.ru
компоненты
70
ПЛИС
Рис. 15. Временные диаграммы моделирования модуля ReadBytes.sv
Останавливаться на описании портов ввода/вывода не обязательно, так как их назначение понятно при описании модуля MainControl.sv. Вся несложная функциональность модуля ReadBytes.sv базируется на счетчике cnt, так как на основе его значений формируются нужные сигналы в нужной последовательности: logic [3:0] cnt;
Модуль WriteBytes.sv Данный модуль, так же как и ReadBytes.sv, забирает у конечного автомата часть однообразной работы — запись в ОЗУ 4 байт по заданному адресу. Шапка модуля: `include "inc_define.vh" module WriteBytes #( parameter NUMBER = 256 ) ( input clk, input reset,
always_ff @ (posedge clk, posedge reset) if (reset) cnt <= '1; else if (start) cnt <= '0; else if (cnt != 4'hf) cnt <= cnt + 1'b1;
По приходе импульса start счетчик сбрасывается, а затем начинается его инкремент. Процессы формирования последовательности сигналов интерфейса памяти обеспечивают побайтное чтение данных из памяти, что хорошо видно на временной диаграмме работы модуля ReadBytes.sv: always_ff @ (posedge clk, posedge reset) if (reset) rd_addr <= '0; else if (start) rd_addr <= addr; else if ((cnt[0])&(cnt[3:1] < 3'd4)) rd_addr <= rd_addr + 1'b1; always_ff @ (posedge clk, posedge reset) if (reset) rd_clock <= 1'b0; else if ((!cnt[0])&(cnt[3:1] < 3'd4)) rd_clock <= 1'b1; else rd_clock <= 1'b0; always_ff @ (posedge clk, posedge reset) if (reset) word <= '0; else if ((!cnt[3])&(cnt[0])) begin word[31:24] <= rd_data; word[23:0] <= word[31:8]; end
Окончание rtl-кода модуля ReadBytes.sv — формирование импульса завершения чтения требуемых данных: always_ff @ (posedge clk, posedge reset) if (reset) done <= 1'b0; else if (start) done <= 1'b0; else if (cnt[3:1] == 4'd4) done <= 1'b1; endmodule
Рис. 16. Временные диаграммы моделирования модуля WriteBytes.sv
input start, input [clogb2(NUMBER)–1:0] addr, input [31:0] word, output logic done, output logic [7:0] wr_data, output logic [clogb2(NUMBER)–1:0] wr_addr, output logic wr_clock, output logic we );
Здесь, так же как и в модуле ReadBytes.sv, значение портов ввода/вывода ясно при описании модуля MainControl.sv, но кратко следует напомнить: по импульсу start происходит последовательная запись четырех байт, выставленных в слове word в память ОЗУ по адресу addr. Как и в модуле ReadBytes.sv, вся функциональность в модуле WriteBytes.sv основана на инкременте счетчика cnt: logic [3:0] cnt; always_ff @ (posedge clk, posedge reset) if (reset) cnt <= '1; else if (start) cnt <= '0; else if (cnt != 4'hf) cnt <= cnt + 1'b1;
Процессы формирования последовательности сигналов интерфейса памяти обеспечивают побайтную запись данных в память: always_ff @ (posedge clk, posedge reset) if (reset) wr_addr <= '0; else if (cnt == 4'd0) wr_addr <= addr; else if ((!cnt[0])&(cnt[3:1] <= 3'd4)) wr_addr <= wr_addr + 1'b1; always_ff @ (posedge clk, posedge reset) if (reset) wr_clock <= 1'b0; else if ((cnt[0])&(cnt[3:1] < 3'd4)) wr_clock <= 1'b1; else wr_clock <= 1'b0;
always_ff @ (posedge clk, posedge reset) if (reset) we <= 1'b0; else if (cnt[3:1] < 3'd4) we <= 1'b1; else we <= 1'b0;
Почему именно при таких значениях счетчика cnt необходимо изменять сигналы интерфейса памяти, будет понятно из представленных далее временных диаграмм работы модуля. По импульсу start данные копируются в буфер word_buf, который последовательно сдвигается вправо по 8 бит. Формирование импульса окончания записи четырех байт в память: always_ff @ (posedge clk, posedge reset) if (reset) done <= 1'b0; else if (start) done <= 1'b0; else if (cnt[3:1] == 4'd4) done <= 1'b1; endmodule
На рис. 16 приведены временные диаграммы работы модуля WriteBytes.sv. Здесь видно, что требуется записать 4‑байтное слово word(0x914f02b5) в память начиная с адреса addr(0x71). На шине wr_data последовательно выставляются 8 младших бит слова word_buf, которое в свою очередь сдвигается по 8 бит влево. Виден клок записи wr_clock, а также флаг разрешения записи we. Модуль ImageControl.sv Модуль непосредственно взаимодействует с аппаратной частью схемы реконфигурирования ПЛИС ([1], рис. 7) посредством подключения к проекту модуля fiftyfivenm_ rublock [6]. В задачу ImageControl.sv входит формирование последовательности сигналов с временными диаграммами, показанными на рис. 8 и 9 из первой части статьи [1], в зависимости от того, требуется прочитать текущий номер конфигурации или загрузить другую конфигурационную схему ПЛИС. Шапка модуля ImageControl.sv:
logic [31:0] word_buf;
На рис. 15 приведены временные диаграммы работы модуля ReadBytes.sv. Здесь начиная с адреса 0x37 из памяти ОЗУ производится последовательное чтение четырех байт. Виден инкремент адреса rd_addr, следующие за ним клок чтения rd_clock и выставление прочитанного байта rd_data.
always_ff @ (posedge clk, posedge reset) if (reset) begin word_buf <= '0; wr_data <= '0; end else if (start) word_buf <= word; else if (!cnt[0]) begin wr_data <= word_buf[7:0]; word_buf[31:0] <= {8'h00,word_buf[31:8]}; end
module ImageControl ( input clk, input reset, input start_setimg, input start_getimg, input [1:0] setimg, output done_getimg, output [3:0] getimg,
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
ПЛИС
Рис. 17. Временные диаграммы работы модуля ImageControl.sv — последовательность сигналов при смене конфигурации
output RU_CLK, output RU_DIN, input RU_DOUT, output RU_SHIFTnLD, output RU_CAPTnUPDT, output RU_nCONFIG, output RU_nRSTIMER );
По приходе импульса start_setimg начинается процесс формирования последовательности сигналов, которые инициируют процесс смены конфигурационной схемы. Номер конфигурации и разрешение на переключение должны быть установлены в setimg. По приходе импульса start_getimg начинается процесс формирования последовательности сигналов, инициирующих процесс чтения номера текущей конфигурационной схемы. По завершении чтения номер конфигурации выставится на getimg в формате msm_cs ([1], табл. 2), о чем просигнализирует импульс окончания чтения done_getimg. Назначение сигналов RU_CLK, RU_DIN и остальных в шапке модуля рассмотрено в разделе «Внутренняя система переключения между двумя конфигурациями» в [1], а конкретно в таблице 1. Работа модуля основана на инкрементах двух счетчиков — cnt_setimg и cnt_getimg: logic [7:0] cnt_setimg; always_ff @ (posedge clk, posedge reset) if (reset) cnt_setimg <= '1; else if (start_setimg) cnt_setimg <= '0; else if (cnt_setimg != '1) cnt_setimg <= cnt_setimg + 1'b1;
Счетчик cnt_setimg запускается по приходе импульса start_setimg, а значит, последовательность сигналов на переключение конфигураций будет формироваться по значениям именно этого счетчика. Аналогично со счетчиком cnt_getimg. Формирование трех сигналов: RU_CLK, RU_SHIFTnLD и RU_CAPTnUPDT: wire RU_SHIFTnLD_setimg, RU_SHIFTnLD_getimg; assign RU_CLK = !clk; assign RU_SHIFTnLD_setimg = (cnt_setimg < 8'h29); assign RU_SHIFTnLD_getimg = (cnt_getimg < 8'h2)| ((cnt_getimg >= 8'h03)& (cnt_getimg < 8'h2A));
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
71
Рис. 18. Временные диаграммы работы модуля ImageControl.sv — последовательность сигналов при чтении номера конфигурации
assign RU_SHIFTnLD = RU_SHIFTnLD_setimg | RU_SHIFTnLD_getimg; assign RU_CAPTnUPDT = !((cnt_setimg >= 8'h00)&(cnt_setimg < 8'h2A));
окончания чтения текущей конфигурации done_getimg:
Сигнал RU_SHIFTnLD участвует в обоих процессах — чтение текущей конфигурации и переключение между конфигурациями, поэтому он представлен двумя раздельными сигналами RU_SHIFTnLD_setimg и RU_SHIFTnLD_getimg, которые суммируются в один RU_SHIFTnLD с помощью ИЛИ. По временным диаграммам, которые будут представлены ниже, читателю станет понятно, почему именно такие значения счетчика необходимы для правильной работы. Сигнал RU_CAPTnUPDT участвует только при переключении между конфигурациями, в остальное время данный сигнал должен находиться в состоянии «лог. 1». Ниже представлены процессы реализации двух регистров сдвига: din_reg — для загрузки данных, и dout_reg — для выгрузки данных из Remote System Upgrade Circuitry (RSUC):
assign RU_nCONFIG = (cnt_setimg >= 8'h30)&(cnt_setimg < 8'h40); assign done_getimg = (cnt_getimg == 8'h2A);
logic [40:0] din_reg; logic [38:0] dout_reg; wire ena_dout; always_ff @ (posedge clk, posedge reset) if (reset) din_reg <= '0; else if (start_setimg) din_reg <= {27'd0,setimg,12'd0}; else din_reg <= {1'b0,din_reg[40:1]}; assign RU_DIN = din_reg[0]; always_ff @ (posedge clk, posedge reset) if (reset) dout_reg <= '0; else if (ena_dout) dout_reg <= {RU_DOUT,dout_reg[38:1]}; assign ena_dout = (cnt_getimg < 8'h29); assign getimg = dout_reg[33:30];
logic [7:0] cnt_getimg; always_ff @ (posedge clk, posedge reset) if (reset) cnt_getimg <= '1; else if (start_getimg) cnt_getimg <= '0; else if (cnt_getimg != '1) cnt_getimg <= cnt_getimg + 1'b1;
компоненты
endmodule
На рис. 17 представлены временные диаграммы при формировании последовательности сигналов для смены конфигурационной схемы ПЛИС, а на рис. 18 — для чтения номера текущей конфигурационной схемы.
Компиляция проекта, подготовка файла, загрузка и проверка После моделирования всего проекта, убедившись в его работоспособности, можно приступить к компиляции двух конфигураций и созданию общего конфигурационного файла для загрузки в ПЛИС и проверки работоспособности в реальности, как говорят, «на железе». Необходимо создать два проекта в ПО Quartus Prime той версии, которая поддерживает серию ПЛИС Max10, например Quartus Prime 15.1, как у автора. Оба проекта отличаются только одним заголовочным файлом inc_define.vh. В одном из них будет определено значение Image0: `define image0 //`define image1
а в другом Image1: По приходе импульса start_setimg регистр din_reg подготавливается к загрузке в RSUC, а именно в него необходимо загрузить два бита, определяющих условия переключения конфигурации (разрешение и номер). Далее происходит последовательный «задвиг» регистра din_reg младшим разрядом вперед в RU_DIN. При чтении номера конфигурации необходимо «выдвинуть» данные из RSUC в сдвиговый регистр dout_reg, разрешением и запрещением сдвига является флаг ena_dout, необходимый для того, чтобы номер текущей конфигурации в формате msm_cs оказался строго в конкретных разрядах регистра dout_reg. Далее описано условие формирования импульса RU_nCONFIG для инициирования реконфигурации ПЛИС, а также импульс
//`define image0 `define image1
В обоих проектах необходимо установить режим конфигурации Dual Compressed Images: Assignments → Device → Device and Pin Options → Configuration → Dual Compressed Images (256 Kbits UFM). После компиляции обоих проектов, необходимо воспользоваться утилитой Convert Programming File (рис. 19), для создания общего файла pof. Здесь следует провести действия: • в поле Programming file type выбрать Programmer Object File (.pof); • режим Mode выбрать Internal Configuration; • установить галочку Create config data RPD; www.kite.ru
компоненты
72
• с помощью кнопок Add Sof Page и Add File добавить еще одну область SOF Data и в каждую область добавить файл Image0.sof и Image1.sof; • нажать на кнопку Generate. При успешной конвертации файла должно появиться сообщение Generated <name>. pof successfully. Полученный pof-файл загружается в отладочную плату с помощью программатора USB-Balster и утилиты Quartus Programmer. Подключив внешний драйвер Virtual COM (автор использует устройство от китайского производителя WaveShare), можно начинать проверку работоспособности разработанного проекта (рис. 20). Как было сказано в самом начале статьи, у ПЛИС семейства Max10 имеется специализированный пин CONFIG_SEL, который в данной отладочной плате подсоединен к переключателю. При подаче питания на ПЛИС внутренняя система загрузки конфигурации проверяет логический уровень на данном пине и в соответствии с ним загружает в ПЛИС конфигурацию Image0 или Image1 (рис. 21). Следует напомнить, что две разные конфигурации проекта отличаются включенными светодиодами, чтобы можно было визуально отличать одну прошивку от другой. Следующий шаг: необходимо убедиться, что проект полностью рабочий, что имеется возможность динамического переключения конфигураций и чтения номера текущей конфигурации с помощью UART-интерфейса. Для этого автор разработал простую программу для информационного обмена ПК ↔ Отладочная плата (рис. 22). На рис. 22 показана установка конфигурации Image0 и считывание номера конфигурации. Регистр msm_cs = 0010 подтверждает, что установлена конфигурация именно Image0. Также в программе реализована вкладка «Лог», где отображаются передающая и принимаемая посылки.
Рис. 20. Запуск проекта
ПЛИС
Рис. 19. Утилита Convert Programming File
Рис. 22. Установка конфигурации Image0
Рис. 21. Загрузка разных конфигурационных прошивок в зависимости от логического уровня пина CONFIG_SEL
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
ПЛИС
компоненты
73
В следующей части статьи будет рассмотрена реализация перепрошивки одного из конфигурационных файлов, другими словами, использование одной из конфигураций в качестве бутлодера (загрузчика) для смены основной прошивки ПЛИС. Таким образом, будет представлена доработка rtl-кода под выполнение этой задачи. n
Литература
Рис. 23. Установка конфигурации Image1
мо передать два бита для переключения между конфигурациями, либо пустой байт, равный 0, при чтении номера конфигурации. Пакеты заканчиваются контрольной суммой, например ~(0x53 + 0x01 + 0x03) = 0xA8. Итак, автор с читателем убедились, что существует возможность реализации двух конфигурационных схем на одной ПЛИС, а также динамического переключения между двумя прошивками через какой-то любой пользовательский интерфейс.
Реклама
На рис. 23 показана установка конфигурации Image1 и чтение номера установленной конфигурации. В правых частях рис. 22 и 23 отображается лог-информация о посылках. Как видно, посылки являются 4‑байтными. Первым байтом идет команда: 0x53 — для переключения между конфигурациями, 0x43 — для чтения номера конфигурации. Вторым байтом идет длина полезных данных 0x01, в данном случае полезными данными является один байт, где необходи-
1. Дайнеко Д. Проект динамической смены конфигурации ПЛИС семейства MAX10. Часть 1 // Компоненты и технологии. 2021. № 12. 2. Строгонов А. Неизвестное об известном, или Что такое метастабильность триггеров // Компоненты и технологии. 2008. № 10. 3. Stephenson J. Don’t Let Metastability Cause P r o b l e m s i n Yo u r F P G A - B a s e d D e s i g n . www.eetimes.com/dont-let-metastability-causeproblems-in-your-fpga-based-design/ 4. Clifford E. Cummings. The Fundamentals of Efficient Synthesizable Finite State Machine Design using NC-Verilog and BuildGates. Computer Science, 2002. 5. FPGA для программиста, конечные автоматы. www.habr.com/ru/post/347928/ 6. MAX 10 Handbook, Configuration Schemes and Features. Accessing Remote System Upgrade through User Logic.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
74
компоненты
Окончание. Начало в № 12’2021
Виктор Безродный
радиационно стойкие
Радиационно стойкие модули DC/DC-преобразователей напряжения для систем электроснабжения с постоянным напряжением Мы продолжим рассматривать основные технические и эксплуатационные характеристики радиационно стойких модулей DC/DC-преобразователей китайской компании, входящей в Китайскую корпорацию электронных технологий (China Electronics Technology Group Corporation — CETC). При разработке этих модулей особое внимание уделялось обеспечению радиационной стойкости и электромагнитной совместимости.
Радиационно стойкие DC/DC-преобразователи серии HDCD/(20-50) с выходной мощностью 30 Вт Одноканальные преобразователи напряжения этого ряда выполнены по прямоходовой структуре. Гальваническая развязка в контуре регулирующей обратной связи обеспечивается трансформатором. Для корректирования выходного напряжения применяется метод широтно-импульсной модуляции, формирующий регулирующие сигналы в виде импульсов, изменяющихся по ширине при неизменной частоте следования. Микросхема ШИМ-контроллера реализована в радиационно стойком исполнении. Для прямого управления амплитудой тока дросселя посредством сигнала ошибки используется внутренний контур регулирования. Представленные в справочной документации слишком упрощенные структурные схемы одно- и двухканальных моделей не показывают, каким образом решается вопрос снижения перегрузки по напряжению силового МОП-транзистора, исключения насыщения сердечника трансформатора. Предположительно для размагничивания сердечника трансформатора в одноканальных моделях используется резонансное размагничивание: во время запертого состояния силового ключа трансформатор размагничивается через резонансную цепочку, состоящую из индуктивности намагничивания и емкости, образованной емкостями силового ключа, первичной обмотки и всеми емкостями на вторичной стороне, приведенными к первичной стороне, включая емкость выпрямительного диода.
Рис. 12. Структурная схема одноканальной модели 30‑Вт преобразователя напряжения серии HDCD/(20-50)
Таблица 8. Номенклатура и общие характеристики 30‑ваттных DC/DC-преобразователей серии HDCD/(20-50) Модель
Выходная мощность, Вт
Выходное напряжение, В
КПД, % при полной нагрузке
Габаритные размеры, мм
HDCD/(20-50)-3R3-20F/SP
20
3,3
28 В: 74 42 В: 73 28 В: 77 42 В: 77 28 В: 85 42 В: 85 28 В: 86 42 В: 85 28 В: 77 42 В: 77 28 В: 84 42 В: 83 28 В: 74 42 В: 72 28 В: 74 42 В: 72
73,91×28,69×10,16
HDCD/(20-50)-5-25F/SP
25
5
HDCD/(20-50)-12-30F/SP
30
12
HDCD/(20-50)-15-30F/SP
30
15
HDCD/(20-50)-5-25F/D1
25
±5
HDCD/(20-50)-12-30F/D1
30
±12
HDCD/(20-50)-512-30F/T1
30
5/±12
HDCD/(20-50)-515-30F/T1
30
5/±15
Двухканальные модули выполнены по обратноходовой структуре. Структурная схема одноканальной модели приведена на рис. 12.
73,91×28,69×10,16 73,91×28,69×10,16 73,91×28,69×10,16 73,91×28,69×10,16 73,91×28,69×10,16 68,59×34,29×10,29 68,59×34,29×10,29
Основные параметры одно-, двух- и трехканальных моделей преобразователей представлены в таблице 8.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
радиационно стойкие
компоненты
75
а
б
Рис. 13. Осциллограммы кондуктивных помех на входе модуля преобразователя напряжения HDCD/(20-50)-515-30F/T1: а) уровень кондуктивных помех на входе без фильтра; б) уровень кондуктивных помех при подключении внешнего фильтра значительно ниже нормирующей кривой CE102 стандарта MIL-STD‑461
Для обеспечения работы модулей, действующих в составе аппаратуры в различных режимах, они снабжены следующими сервисными функциями: защита от короткого замыкания и пониженного входного напряжения, дистанционное включение/выключение, регулировка выходного напряжения подключением внешнего потенциометра (для одноканальных моделей), синхронизация рабочей частоты внешним сигналом частотой 400–500 кГц. Установленный на входе преобразователя LC-фильтр обеспечивает подавление высокочастотных помех до уровня, допустимого для многих применений. Но поскольку высокий импеданс индуктивного типа может повлиять на устойчивость работы модуля, в непосредственной близости от его входа следует установить демпфирующий конденсатор. Для использования в качестве демпфирующего оптимален алюминиевый электролитический конденсатор. Если подавление помех посредством встроенных на выходе фильтров оказывается недостаточным, рекомендуется применять внешние фильтры. Для снижения пульсирующего входного тока предлагается устанавливать внешние фильтры HFMC/(20-50)-461-135 рядом с входом DC/DC-преобразователя. Вносимое затухание фильтра — 50 дБ на частоте 500 кГц, 40 дБ на частоте 1 МГц. Пример эффективности применения внешнего фильтра на входе трехканального преобразователя HDCD/(20-50)-515-30F/T1 показан на рис. 13. Если подавление помех с помощью встроенных на выходе фильтров оказывается недостаточным, рекомендуется применять внешние фильтры. Стабилизация напряжения у двухканальных модулей осуществляется только по каналу положительного напряжения. Выходное отрицательное напряжение ре-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Рис. 14. График зависимости степени перекрестного регулирования при изменении нагрузки для преобразователя HDCD/(20-50)-12-30F/D1
гулируется только за счет магнитной связи обмотки с обмоткой трансформатора положительной полярности, поэтому требуется симметричная сбалансированная нагрузка. Если нагрузка не сбалансирована, при конструировании системы питания необходимо руководствоваться графиком зависимости относительного изменения напряжения на выходе отрицательного напряжения, вызванного изменением нагрузки на выходе положительного напряжения (перекрестное регулирование). В качестве примера на рис. 14
приведен график зависимости степени перекрестного регулирования при изменении нагрузки в канале положительного напряжения для двухканального преобразователя HDCD/(20-50)-12-30F/D1. Перекрестное регулирование составляет 6% при изменении нагрузки от 30 до 70% и от 70 до 30% при начальном условии загрузки каждого канала 50%/50%. Как указано в технической спецификации трехканального модуля HDCD/(20-50)-51530F/T1, при нагрузке основного регулируе-
Таблица 9. Тепловые сопротивления некоторых моделей 30‑Вт модулей серии HDCD/(20-50) Модель
Тепловой импеданс, °С/Вт
Размеры радиатора, мм
Мощность, Вт
Материал радиатора
HDCD/(20-50)-3R3-20F/SP
5,05
HDCD/(20-50)-5-25F/SP
4,64
140×45×1
10
Медь
140×45×1
8,5
HDCD/(20-50)-12-30F/SP
Медь
6,07
140×45×1
5,5
Медь
HDCD/(20-50)-15-30F/SP
6,07
140×45×1
5,5
Медь
HDCD/(20-50)-5-25F/D1
4,13
140×45×1
8,5
Медь
HDCD/(20-50)-12-30F/D1
6,97
140×45×1
4,8
Медь
HDCD/(20-50)-512-30F/D1
2,38
300×370×1
11
Медь
HDCD/(20-50)-515-30F/D1
2,38
300×370×1
11
Медь
www.kite.ru
76
компоненты
мого канала 5 В/2 A, при изменении тока нагрузки на каналах ±15 В от 200 до 466 мА и от 466 до 200 мА степень перекрестного регулирования составляет 6%; начальное условие: загрузка основного канала 5 В/4 A, каждый из каналов ±15 В имеет нагрузку 333 мА. В таблице 9 приведены значения внутренних тепловых сопротивлений для некоторых моделей модулей рассматриваемого ряда. Тепловые сопротивления позволяют определить температуру перегрева модуля относительно окружающей среды и, используя графики зависимости КПД от выходной мощности и графики зависимости допустимой мощности от внешней температуры, рассчитать размеры радиатора.
Радиационно стойкие DC/DC-преобразователи серии HDCD/(20-50) с выходной мощностью 65 Вт Одно- и двухканальные DC/DC-преобразователи этого ряда доступны в исполнении с горизонтальным расположением выводов для объемного монтажа. Модули обеспечивают гальваническую развязку входных и выходных цепей, имеют встроенные входные и выходные фильтры. Упрощенные структурные схемы одно- и двухканальных прямоходовых преобразователей приведены на рис. 15. Номенклатура и общие характеристики 65‑Вт DC/DC-преобразователей серии HDCD/(20-50) представлены в таблице 10. Для регулирования напряжения используется метод широтно-импульсной модуляции (ШИМ) с постоянной рабочей частотой (350–500 кГц) и обратной связью по напряжению. Специализированная радиационно стойкая микросхема ШИМ-контроллера осуществляет возбуждение, управление и защиту преобразователя. Для обеспечения работы модулей в составе электронной аппаратуры они снабжены следующими сервисными функциями: защита от короткого замыкания и пониженного напряжения на входе, вход дистанционного включения/выключения (по первичной и вторичной цепи), равномерное распределение тока нагрузки между включенными параллельно модулями (только для одноканальных моделей), сигналы синхронизации частоты преобразования внешним синхросигналом, формирование выходного сигнала синхронизации. Для исключения влияния падения напряжения на соединительных проводниках, соединяющих выходные контакты преобразователя с нагрузкой, применяется внешняя обратная связь. Обратная связь для стабилизации напряжения на нагрузке берется непосредственно с входных питающих контактов питаемой аппаратуры. Для подключения цепей внешней обратной связи служат входы преобразователя SENSE+ и SENSE–. За счет параллельного включения можно увеличить мощность, повысить надежность
радиационно стойкие
а
б
Рис. 15. Структурные схемы 65‑Вт прямоходовых преобразователей напряжения серии HDCD/(20-50): a) одноканальный преобразователь; б) двухканальный преобразователь
Таблица 10. Номенклатура и общие характеристики 65‑Вт DC/DC-преобразователей серии HDCD/(20-50) Модель
Выходная мощность, Вт
Выходное напряжение, В
КПД, % при полной нагрузке
Габаритные размеры, мм
HDCD/(20-50)-5-50/SP
50
5
28 В: 78 42 В: 77
76,7×38,6×10,16
12
28 В: 83 42 В: 82
76,7×38,6×10,16
15
28 В: 84 42 В: 83
76,7×38,6×10,16
±5
28 В: 77 42 В: 77
76,7×38,6×10,16
±12
28 В: 85 42 В: 84
76,7×38,6×10,16
HDCD/(20-50)-12-60/SP HDCD/(20-50)-15-65/SP HDCD/(20-50)-5-50/D1 HDCD/(20-50)-12-60/D1
60 65 50 60
аппаратуры, используя принципы резервирования отдельных модулей преобразователей напряжения. Допускается параллельно включать до трех одноканальных модулей. На рис. 16 показана структурная схема параллельного соединения трех модулей при работе на общую нагрузку. Все выводы равномерного распределения тока (Share) соединяются вместе. Проводники цепи внешней обратной связи (Sense) каждого модуля должны соединяться в общей физической
точке у нагрузки совместно с проводами, соединяющими выходы преобразователей, и обратными проводами. Выходной сигнал синхронизации SYNCOUT используется для синхронизации частоты преобразования параллельно включенных модулей от одного ведущего преобразователя. Эта функция позволяет синхронизировать рабочую частоту преобразователей с желаемой для конкретного применения частотой (400–500 кГц). При этом устраняются частоты биений, создава-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
радиационно стойкие
емых несколькими преобразователями, подключенными к одной шине. Более подробно вопросы использования и организации интерфейса входов дистанционного включения/выключения и синхронизации DC/DCпреобразователей обсуждаются в статье [12]. Из приведенной упрощенной структурной схемы прямоходового преобразователя напряжения не понятно, каким образом решается вопрос поглощения выброса напряжения на стоке силового МОП-транзистора и уменьшения пульсирующего входного тока преобразователя. Можно предположить, что для обеспечения оптимальной характеристики мягкого переключения полупроводниковых компонентов силовой схемы применяется резонанс выходной емкости силового ключа при нуле напряжения, а размагничивание сердечника трансформатора осуществляется вследствие механизма размагничивания от взаимодействия индуктивности намагничивания и конденсатора, расположенного на вторичной стороне, — реализуется автоматический механизм размагничивания. Такое предположение сделано в связи с тем, что в представленной структурной схеме отсутствует внешняя схема размагничивания. Подробно принцип работы прямоходового преобразователя напряжения с одним силовым ключом и резонансным размагничиванием рассмотрен в статье [13]. Для снижения уровня высокочастотных электромагнитных помех на входе преобразователя применяется внутренний LC-фильтр, а для дополнительного подавления помехи рекомендуется установить внешний фильтр HFE/(20-50)100-461-500 с вносимым затуханием 40 дБ на частоте 1 МГц. Схема помехоподавляющего фильтра приведена на рис. 17. Фильтр подавляет синфазную и дифференциальную составляющие помехи. Фильтр выполнен по толстопленочной гибридной технологии в герметичном металлическом корпусе с горизонтальным расположением выводов для объемного монтажа. Габаритные размеры 76,238,110,16 мм, масса 79 г. Перекрестное регулирование для двухканальных моделей составляет 6–10% (в зависимости от модели) при изменении нагрузки от 30 до 70% и от 70 до 30% при начальном
компоненты
77
Рис. 16. Схема параллельного соединения модулей для работы на общую нагрузку
распределении нагрузки между каналами 50%/50%. Требуется применять симметричную сбалансированную нагрузку, если же нагрузка не сбалансирована, то необходимо учитывать график перекрестного регулирования, который представлен в сопроводительной документации. Для поддержания максимальной температуры корпуса +125 °C или ниже необходимо обеспечить отвод тепла на внешний теплоотвод, находящийся в тесном контакте с основанием корпуса преобразователя. В таблице 11 приведены значения внутреннего теплового
сопротивления модуля на участке элемент — подложка, указаны размеры радиатора для заданной рассеиваемой мощности. Для повышения эффективности кондуктивного теплоотвода рекомендуется между основанием корпуса и радиатором поместить наполнитель с высокой тепловой проводимостью. В качестве наполнителя используют теплопроводящие пасты и прокладки, которые заполняют неровности микрорельефа поверхностей, повышая теплопередачу. Для эксплуатации в космических условиях допускается применять только наполнители
Рис. 17. Схема фильтра электромагнитных помех HFE/(20-50)-100-461-500
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
компоненты
78
радиационно стойкие
Заключение Таблица 11. Тепловые сопротивления некоторых моделей 65‑Вт модулей серии HDCD/(20-50) и размеры теплоотводов Модель
Тепловое сопротивление, °С/Вт
Размеры радиатора, мм
Мощность, Вт
Материал радиатора
HDCD/(20-50)-15-65/SP
2,71
300×200×3
16,3
Медь
HDCD/(20-50)-5-50/D1
2,48
300×200×3
18,5
Медь
HDCD/(20-50)-12-60/D1
2,89
300×200×3
15
Медь
Таблица 12. Радиационно стойкие гибридно-пленочные преобразователи напряжения типа POL для установки рядом с нагрузкой
Литература
Модель
Выходное напряжение, В
Ток нагрузки, А
КПД, % при полной нагрузке
Габариты, (Д×Ш×В), мм
Корпус
HNTA0510SS
0,8–3,3
10
92
27,57×27,57×8,9
Металлический
HNFA0516SS
0,8–3,3
16
89
–
С двухрядным расположением выводов
с низким выделением газов при вакуумнотепловом воздействии и низким содержанием летучих конденсирующихся веществ. Этот ряд преобразователей средней мощности не имеет моделей с выходными напряжениями 3,3 В и ниже. Современным высокоскоростным цифровым микросхемам требуются напряжения 1,5–2,8 В. Уменьшение выходного напряжения до 3,3 В и ниже у источников питания на основе выпрямителей с диодами Шоттки приводит к значительному снижению КПД из-за возрастающих потерь в выходных диодах. Для повышения КПД импульсных преобразователей необходимо заменять диоды Шоттки полевыми транзисторами с низким сопротивлением в открытом состоянии и использовать схемы с синхронными выпрямителями. Однако это требует проведения довольно длительных работ по конструированию и испытаниям новых преобразователей с синхронными выпрямителями и одновременного обеспечения высокой радиационной стойкости законченного изделия. Для питания низковольтных нагрузок предлагается применять неизолированные преобразователи напряжения со специфицированными показателями радиационной стойкости, установленные в непосредственной близости от нагрузки. Об этом и пойдет речь в следующем разделе.
Радиационно стойкие понижающие неизолированные преобразователи напряжения для систем распределенного электропитания низковольтных нагрузок Современные высокопроизводительные микросхемы (FPGA, DSP, MCU, ASIC), широко применяемые в электронной аппаратуре космических аппаратов, требуют напряжения 0,8–3,3 В с большими скоростями нарастания переходных токов. Размещение источника питания в непосредственной близости от нагрузки позволяет существенно снизить влияние
Рассмотренные радиационно стойкие DC/DC-преобразователи характеризуются высокими показателями радиационной стойкости, высокой надежностью, необходимым набором сервисных функций, что позволяет успешно использовать их в системах электропитания ракетно-космической техники. n
распределительной сети. При использовании локального преобразователя (Point-of-Load — POL) требуется значительно меньшая длина проводников печатной платы, а сам источник питания разрабатывается таким образом, чтобы обеспечить скорость переходных процессов в токе нагрузки за счет способов компенсации с помощью обратной связи в режиме большого сигнала [14]. Для организации распределенной архитектуры системы электропитания с напряжением 5 В для промежуточной шины предлагается две модели неизолированных преобразователей напряжения, построенных по топологии понижающих Buckпреобразователей с синхронным выпрямлением (табл. 12). Применение синхронного выпрямления, преобразование с частотой 500 кГц (диапазон изменения 395–585 кГц) обеспечивает их компактность, высокую эффективность и превосходные динамические характеристики. В качестве преобразователей промежуточной шины, обеспечивающих развязку и понижение постоянного напряжения входной шины, можно использовать радиационно стойкие DC/DC-преобразователи HDCD/(20-50)-5-50/SP (выходная мощность 65 Вт) и HDCD/(20-50)-5-25F/SP (выходная мощность 25 Вт). Наиболее важным является размещение локального модуля питания как можно ближе к потребителю, чтобы свести к минимуму индуктивность соединительных проводников, а также применять широкие дорожки на плате для обеспечения протекания больших токов. Стабилизаторы напряжения типа POL предназначены для длительной работы в аппаратуре космической техники в широком диапазоне температур. Гарантируется сохранение параметров в условиях воздействия ионизирующего излучения. Работоспособность сохраняется при суммарной накопленной дозе радиации 100 крад, гарантируется отсутствие необратимых катастрофических отказов и одиночных сбоев при воздействии заряженных частиц с линейными потерями энергии (ЛПЭ) 75 МэВ∙см2/мг.
1. Рентюк В. Системы питания и перспективы использования GaN в космических аппаратах. Часть 1. Питание КА, общие принципы // Силовая электроника. 2019. № 6. 2. Рентюк В. Системы питания и перспективы использования GaN в космических аппаратах. Часть 2. Питание КА, практические решения // Силовая электроника. 2020. № 1. 3. Бендиков М. А., Ганичев Н. А. Электронная импортозависимость и пути ее преодоления (на примере космической промышленности) // Экономический анализ: теория и практика. 2015. № 3. 4. Белоус А. И., Солодуха В. А., Шведов С. В. Космическая электроника. В 2 кн. М.: Техносфера, 2015. 5. Лысенко П. Китайская радиационно стойкая ЭКБ на российском рынке // Современная электроника. 2021. № 6. 6. Безродный В. Радиационно стойкие DC/DCпреобразователи из КНР. Часть 1 // Современная электроника. 2016. № 7. 7. Безродный В. Радиационно стойкие DC/DCпреобразователи из КНР. Часть 2 // Современная электроника. 2016. № 8. 8. Кессаринский Л. Н., Бойченко Д. В., Никифоров А. Ю. Анализ радиационного поведения импульсных стабилизаторов напряжения // Микроэлектроника. 2012. Т. 41. № 4. 9. Горячев В., Чуприн А. Источник вторичного электропитания для специальной бортовой аппаратуры. Формирование внутреннего напряжения питания // Электроника: Наука, Технология, Бизнес. 2015. № 10. 10. Жданкин В. Управление пусковым током в DC/DC-преобразователях // Компоненты и технологии. 2016. № 8. 11. Сергеев Б. С. Сглаживающие фильтры однотактного преобразователя с обратным включением диода // Электропитание. 2013. № 4. 12. Жданкин В. Дистанционное управление и внешняя синхронизация преобразователей напряжения // Компоненты и технологии. 2017. № 5. 13. Герасимов А. А., Кастров М. Ю. Разработка прямоходового преобразователя постоянного напряжения с одним силовым ключом и резонансным размагничиванием // Практическая силовая электроника. 2011. № 41. 14. Лукин А. В. Новые направления развития преобразователей постоянного напряжения (по материалам зарубежной печати) // Электропитание. 2011. № 2.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
САПР
проектирование
79
Многовариантный анализ в LTspice
Валентин Володин
При разработке электронных устройств часто приходится исследовать влияние разброса номиналов компонентов на параметры этих устройств. Либо наоборот — необходимо определить допустимый разброс номиналов компонентов, обеспечивающий соответствие устройства нормам ТУ.
Введение Кроме разброса номиналов, нередко требуется учитывать влияние и таких возмущающих факторов, как температура, старение и т. п. Задача усложняется тем, что эти факторы действуют одновременно и оказывают комплексное влияние. И при их возрастании сложность учета взаимного влияния увеличивается в геометрической прогрессии. То есть если факторов не много, скажем один или два, то решение можно найти при помощи калькулятора, карандаша и листка бумаги. Однако при возрастании количества факторов подобный инструментарий быстро становится бесполезным. А значит, понадобится что-то более серьезное. Например, компьютерный симулятор электронных схем LTspice. В качестве прикладной задачи определим возможный разброс выходного напряжения опорного источника, вызванный отклонениями параметров компонентов, а также изменением температуры окружающей среды. Электрическая схема источника опорного напряжения (ИОН), собранного на микросхеме TL431, изображена на рис. 1. Допустим, по техническим условиям (ТУ) требуется, чтобы ИОН формировал выходное напряжение VOUT = 5 В ±1,5%. Этот пример является одной из многих типовых задач, с необходимостью решения которых разработчик электроники сталкивается в процессе своей деятельности. В библиотеке симулятора нет специальной модели, позволяющей имитировать какие-то разбросы и температурные зависимости микросхемы TL431 (далее — микросхема), поэтому подготовим специальную тестовую модель, схема которой изображена на рис. 2. Позиционные обозначения навесных компонентов на рис. 1 и 2 совпадают. Резисторы R2 и R3 образуют делитель, задающий выходное напряжение ИОН. Источник тока I2 имитирует опорный входной ток Iref микросхемы. Независимый источник напряжения V1 имитирует опорное входное напряжение Vref микросхемы. ИТУН G1 имитирует внутренний операционный усилитель микросхемы. Источник тока I1 имитирует ток нагрузки ILOAD. ИОН питается от независимого источника V2 напряжением 9 В. Резистор R1 ограничивает максимальный выходной ток ИОН. Список возмущающих факторов и переменных параметров приведен в таблице 1.
Рис. 1. Источник опорного напряжения
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Изменение температуры (temp) приводит к тому, что сопротивление резисторов R2 и R3 изменяется в соответствии с температурным коэффициентом сопротивления (tks). Это влияние совместно с допуском dop приводит к нестабильности величины сопротивлений резисторов. В результате сопротивление резисторов R может иметь любое значение в диапазоне Rmin–Rmax:
Rmin = R(1–dop/100)(1+(Tmin–Tnom)tks) = = R(1–0,1/100)(1+0–20)2510–6 = R0,9985, Rmax = R(1+dop/100)(1+(Tmax–Tnom)tks) = = R(1+0,1/100)(1+(50–20)2510–6) = R1,0017, где Tnom = +20 °C. В данном случае R2 = R3 = 10 кОм. Следовательно, для обоих резисторов минимальное и максимальное сопротивления будут одинаковыми: Rmin = 100,9985 = 9,985 кОм, а Rmax = 101,0017 = 10,017 кОм.
Оптимизация исследования Чем больше любой из перечисленных факторов и параметров отклоняется в большую или меньшую сторону от своего номинального значения, тем больше отклоняется от своего номинального уровня выходное напряжение ИОН. Следовательно, для определения максимальТаблица 1. Список возмущающих факторов и параметров Название Температура (T), °С Допуск сопротивления (dop), %
Минимум
Максимум
0 –0,1
50 0,1
Температурный коэффициент сопротивления (tks), 10–6×1/°С (ppm*)
–25
25
Входное напряжение (Vref), В
2,475
2,515
Входной ток (Iref), мкА Ток нагрузки (ILOAD), мА
1,1
2
0
6
Примечание. * ppm (parts per million) — миллионная доля.
Рис. 2. Тестовая модель источника опорного напряжения
www.kite.ru
80
проектирование
САПР
ного разброса выходного напряжения достаточно учитывать только крайние отклонения возмущающих факторов и параметров. Взяв на вооружение этот принцип, можно значительно упростить задачу. В этом случае задача сводится к тому, чтобы измерить выходное напряжение для всех возможных комбинаций указанных выше факторов и параметров, если те принимают только два значения: максимальное и минимальное. Соответственно, общее число комбинаций для k факторов и параметров равно аналогичному значению двоичного кода:
N(k) = 2k, (1) где k — число разрядов двоичного кода. В таблице 1 перечислено шесть факторов и параметров, влияющих на стабильность выходного напряжения ИОН. Однако чуть раньше изменение температуры (T), температурный коэффициент (tks) и допуск (dop) были преобразованы в изменение сопротивления резисторов R2 и R3. В результате количество переменных параметров снизилось до пяти. Общее количество всевозможных комбинаций из этих параметров можно найти по формуле (1) как N = 25 = 32.
Использование директивы .TRAN Директива .TRAN предназначена для исследования переходного процесса. Этот тип исследования позволяет моделировать поведение ИОН после подачи напряжения питания. Необходимо лишь организовать изменение номиналов и параметров компонентов модели в соответствии с двоичным алгоритмом. Перебор комбинаций — в этом режиме исследования можно осуществить при помощи генератора двоичных комбинаций. Такой генератор можно построить на двоичных счетчиках или на независимых источниках напряжения. Предварительно необходимо установить соответствие между варьируемыми параметрами и двоичными разрядами. Вариант такого соответствия приведен в таблице 2. Также в таблице указаны минимальные значения всех параметров и приращения. Когда двоичный разряд равен 0, соответствующий ему параметр имеет минимальное значение. Когда двоичный разряд равен 1, соответствующий ему параметр равен сумме минимального значения и приращения. Схема модели с генератором комбинаций на источниках напряжения изображена на рис. 3. Все источники напряжения имеют практически одинаковую настройку. Необходимо лишь указать номер двоичного разряда, для которого данный источник будет генерировать последо-
Рис. 3. Модель с генератором комбинаций на источниках напряжения
вательность нулей и единиц. Для примера на рис. 3 раскрыта строка настройки источника V1, генерирующего последовательность нулевого разряда. Настройка источника заключается в том, чтобы в указанные на рис. 1 позиции строки вписать 0. Для первого разряда надо будет вписать 1, для второго 2 и т. д. Список связей модели приведен в листинге 1. R1 N002 Vout 560 G1 Vout 0 N003 N001 2.9 R3 N001 0 R={9.985k+V(k1)*32} R2 Vout N001 R={9.985k+V(k0)*32} V5 N002 0 9 B1 N001 0 I={1.1u+V(k3)*0.9u} B2 N003 0 V={2.475+V(k2)*0.04} B3 Vout 0 I={V(k4)*6m} V1 k0 0 PULSE(0 1 {period/2**(k–0)} {tf} {tf} {period/2**(k–0)–tf} {2*period/2**(k–0)}) V2 k1 0 PULSE(0 1 {period/2**(k–1)} {tf} {tf} {period/2**(k–1)–tf} {2*period/2**(k–1)}) V3 k2 0 PULSE(0 1 {period/2**(k–2)} {tf} {tf} {period/2**(k–2)–tf} {2*period/2**(k–2)}) V4 k3 0 PULSE(0 1 {period/2**(k–3)} {tf} {tf} {period/2**(k–3)–tf} {2*period/2**(k–3)}) V6 k4 0 PULSE(0 1 {period/2**(k–4)} {tf} {tf} {period/2**(k–4)–tf} {2*period/2**(k–4)}) .tran {period} .param k=5 period=32m tf=period/5000 .backanno .end
Листинг 1. Список связей модели с двоичным счетчиком
Рис. 4. Исследование переходного процесса модели с вариацией параметров
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
САПР
Таблица 2. Соответствие между варьируемыми параметрами и двоичными разрядами Название параметра
Минимум
Приращение
Двоичный разряд
Сопротивление резистора R2, кОм
9,985
0,032
0
1
Сопротивление резистора R3, кОм
9,985
0,032
1
2
Входное напряжение (Vref), В
2,475
0,04
2
3
1,1
0,9
3
4
0
6
4
16
Входной ток (Iref), мкА Ток нагрузки (ILOAD), мА
Вес разряда
Результат исследования изображен на рис. 4. Исследование переходного процесса показывает, что при различных сочетаниях вариаций параметров, перечисленных в таблице 2, напряжение ИОН остается в диапазоне 4,948–5,058 В. Разброс выходного напряжения составляет 5,058–4,948 = 110 мВ. Отклонение выходного напряжения от номинального значения 5 В не превышает ±1,1%, что не хуже требований ТУ. Альтернативный генератор двоичных комбинаций можно построить с использованием директивы .FUNC, которая разрешает создавать пользовательские функции. Один из вариантов генератора комбинаций может быть создан следующим образом: .param k=5 period=1 .func mod2(x) if(x>2*int(x/2),1,0) .func ras(n) mod2(int(time/(period/2**(k–n))))
проектирование
81
Здесь при помощи директивы .PARAM создаются переменные k и period. Переменная k определяет количество двоичных разрядов генератора комбинаций, а переменная period определяет длительность периода моделирования. Функция mod2(x) определяет четность целого числа x. Если число x четное, то функция возвращает 0, если нечетное, то 1. Функция ras(n) определяет состояние двоичного разряда с номером n. Модель с генератором двоичных комбинаций, созданным при помощи директивы .FUNC, изображена на рис. 5. Список связей модели приведен в листинге 2. R1 N002 Vout 560 G1 Vout 0 N003 N001 2.9 R3 N001 0 R={9.985k+ras(1)*32} R2 Vout N001 R={9.985k+ras(0)*32} V2 N002 0 9 B1 N001 0 I={1.1u+ras(3)*0.9u} B2 N003 0 V={2.475+ras(2)*0.04} B3 Vout 0 I={ras(4)*6m} .tran 0 {period} 0 {1u*period} .param k=5 period=1 .func mod2(x) if(x>2*int(x/2),1,0) .func ras(n) mod2(int(time/(period/2**(k–n)))) .backanno .end
Листинг 2. Список связей модели с генератором двоичных комбинаций
Результат исследования изображен на рис. 6. Эти результаты аналогичны полученным ранее. Применение пользовательских функций позволяет получить более компактный внешний вид модели. Однако в скорости полученная модель значительно проигрывает предыдущей.
Использование директивы .STEP
Рис. 5. Модель с генератором двоичных комбинаций
Методика многовариантного анализа, рассмотренная в предыдущем разделе, достаточно проста и обеспечивает очень высокую скорость моделирования. Однако эта методика подходит только для тех исследований, которые можно производить при помощи директивы .TRAN. С ее помощью невозможно осуществить многовариантный анализ при остальных видах исследования. Указанную проблему предыдущей методики можно попытаться решить при помощи директивы .STEP. Эта директива в течение одного сеанса моделирования позволяет многократно запускать любой
Рис. 6. Вариация параметров при помощи генератора двоичных комбинаций
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
82
проектирование
САПР
R1 N002 Vout 560 G1 Vout 0 N003 N001 2.9 V1 N003 0 {Vref} R3 N001 0 {R3} R2 Vout N001 {R2} I1 Vout 0 {Iload} V2 N002 0 9 I2 N001 0 {Iref} .step param ns 0 31 1 .param R3=9.985k+(int(ns/2**1)–2*int(ns/2**(1+1)))*32 .param vref=2.475+(int(ns/2**2)–2*int(ns/2**(2+1)))*0.04 .param iref=1.1u+(int(ns/2**3)–2*int(ns/2**(3+1)))*0.9u .param iload=0+(int(ns/2**4)–2*int(ns/2**(4+1)))*6m .op .param R2=9.985k+(int(ns/2**0)–2*int(ns/2**(0+1)))*32 .backanno .end
Листинг 3. Список связей модели с директивой .STEP
Рис. 7. Модель с директивой .STEP
тип исследования с вариацией одного параметра. И этого, к сожалению, недостаточно, поскольку в нашем случае требуется одновременно изменять пять параметров, а не один. Проблему можно решить введением вспомогательной переменной ns (имя переменной может быть произвольным), которая будет выполнять роль своеобразного счетчика циклов. Именно эта переменная и будет варьироваться директивой .STEP. Изменение же требуемых параметров, в свою очередь, будет определяться состоянием счетчика. При этом количество циклов моделирования находят по формуле (1) как:
ns = 2k = 25 = 32, где k — число варьируемых параметров. Состояние любого из двоичных разрядов n, в зависимости от номера цикла моделирования ns, можно рассчитать по формуле:
qindex = int(ns/2n)–2int(ns/2n+1). (2) Схема модели многовариантного анализа с использованием директивы .STEP изображена на рис. 7. Список связей модели приведен в листинге 3.
Результат исследования изображен на рис. 8. Результаты моделирования аналогичны полученным ранее. Несколько иной подход продемонстрирован в [1, 2], где вычисление веса двоичного разряда производится с помощью пользовательской функции: .func binary_digit(ns,n) int(ns/2**n)–2*int(ns/2**(n+1))
Для определения параметров также применяется пользовательская функция wc. Если параметр задан в виде минимального значения min и приращения inc, используется функция: .func wc(min,inc,n) if(binary_digit(ns,n),min+inc,min)
Если же параметр задан в виде номинального значения nom и допуска dop (в %), используется функция: .func wc(nom,dop,n) if(binary_digit(ns,n),nom*(1+dop/100),nom*(1–dop/100))
Применение пользовательских функций позволяет снизить количество текста в модели и улучшить ее читабельность. Однако время моделирования при этом увеличивается. Схема модели многовариантного анализа с использованием директивы .STEP и пользовательских функций показана на рис. 9. Список связей модели приведен в листинге 4.
Рис. 8. Вариация многих параметров при помощи директивы .STEP
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
САПР
проектирование
83
R1 N002 Vout 560 G1 Vout 0 N003 N001 2.9 V1 N003 0 {wc(2.475,0.04,2)} R3 N001 0 {wc(9.985k,32,1)} R2 Vout N001 {wc(9.985k,32,0)} I1 Vout 0 {wc(0,6m,4)} V2 N002 0 9 I2 N001 0 {wc(1.1u,0.9u,3)} .step param ns 0 31 1 .op .func binary_digit(ns,n) int(ns/2**n)–2*int(ns/2**(n+1)) .func wc(min,inc,n) if(binary_digit(ns,n),min+inc,min) .backanno .end
Листинг 4. Список связей модели с директивой .STEP и пользовательскими функциями
Литература Рис. 9. Модель с директивой .STEP и пользовательскими функциями
Результат исследования изображен на рис. 10. Результаты моделирования также аналогичны полученным ранее. n
1. Spencer J. Getting the worst-case circuit analysis with a minimal number of LTspice simulation runs. Analog Devices, 2017. 2. K6JCA: Monte Carlo and Worst-Case Circuit Analysis using LTSpice, 2012 (Edited on 29 May 2020).
Рис. 10. Вариация многих параметров с использованием директивы .STEP и пользовательских функций
новости
ВЧ/СВЧ-компоненты
Волноводные фильтры компании Vaunix для обеспечения совместимости с сетями 5G
В настоящее время активно развиваются сети мобильной связи 5G. Однако эти сети могут оказывать негативное электромагнитное воздействие на другие радиосистемы, в частности на сети спутниковой связи, поскольку работают в близких с ними участках частот. Для минимизации такого негативного влияния компания Vaunix разработала новые волноводные фильтры С‑диапазона серии VSF-K, предназначенные для защиты сущеКОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
ствующих приемников систем спутниковой связи от мешающих сигналов перспективных сетей мобильной связи. Данные фильтры отличаются исключительно низким уровнем вносимых потерь. Основные характеристики новых волноводных фильтров: • полоса пропускания: 4000–4200 МГц; • типовые вносимые потери: 1,1 дБ; • максимальные вносимые потери: 1,3 дБ; • минимальное ослабление: – до 3900 МГц – 70 дБ, – на частоте 3980 МГц – 60 дБ, – на частоте 3983 МГц – 50 дБ, – выше 4230 МГц – 25 дБ; • обратные потери: 20 дБ;
• вариация группового времени задержки: 1,45 нс в полосе ±0,5 МГц; • диапазон рабочих температур: –40…+50 °C; • типы соединителей: UDR40, PDR40, CPR229; • общие размеры фильтра: 171,567,638,6 мм; • размеры фланцевых соединителей: 98,469,97,5 мм; • вес: 998 г. Волноводный фильтр изготовлен из алюминиевого сплава. Фланцы фильтров могут иметь гладкую поверхность или поверхность с углублениями. Помимо волноводных фильтров этой серии, компания Vaunix производит фильтры других серий и диапазонов частот. www.avrex.ru
www.kite.ru
84
проектирование
Окончание. Начало в № 12'2021
Татьяна Колесникова beluikluk@gmail.com
САПР
Проектирование схем микроэлектронных устройств с использованием клавиатуры в Proteus. Часть 2 Во второй части статьи о Proteus 8.11 рассмотрена работа с матричной клавиатурой размером 1×4, сформированной на основе кнопок с фиксацией, а также с моделью клавиатуры телефона. На основе матричной клавиатуры размером 4×4 и 3×3 проведено проектирование калькулятора, в котором после нажатия функциональных клавиш выполняются вычисления арифметических операций, экспоненциальной и тригонометрических функций. В приведенных примерах в качестве устройств отображения информации используются буквенно-цифровой дисплей и виртуальный терминал. Рассмотренные схемы работают под управлением микроконтроллеров AT90S8515 и ATmega16, компиляция программы инициализации которых выполнена в CodeVisionAVR.
Опрос матричной клавиатуры в Proteus Работа с моделью клавиатуры телефона Рассмотрим схему, которая демонстрирует работу микроконтроллера AT90S8515 с матричной клавиатурой телефона, для чего внесем изменения в проект имитации работы калькуляторной клавиатуры размером 44 [13]. Удалим компонент KEYPAD-SMALLCALC, а вместо него в рабочее поле схемного редактора из раздела Keypads библиотеки Switches&Relays добавим матричную клавиатуру KEYPADPHONE (рис. 26) размером 34 и подключим ее к микроконтроллеру AT90S8515 так, как показано на рис. 27. Микроконтроллер определяет нажатую кнопку и выводит ее значение на экран буквенно-цифрового дисплея LM016L, настройку параметров которого выполняют в окне его свойств Edit Component.
Рис. 26. Выбор в Proteus из раздела Keypads библиотеки Switches&Relays матричной клавиатуры KEYPAD-PHONE
В нашем примере все параметры оставлены по умолчанию, кроме значения тактовой частоты Clock Frequency, которое должно совпадать с частотой работы микроконтроллера (4 МГц). Его указывают в поле Advanced Properties. Микросхема LM016L имеет 14 контактов [7], назначение которых следующее: • Vss — GND; • Vdd — напряжение питания +5 В; • Vee — напряжение контрастности 0…+5 В (настройка контрастности отображаемых на дисплее символов); • RS — выбор регистра данных DR (RS — 1) или команд IR (RS — 0); • RW — выбор операции чтения (RW — 1) или записи (RW — 0); • E — линия синхронизации; • D0–D7 — шина данных/команд. Микросхема LM016L может работать в двух режимах: • 8‑разрядном (для обмена информацией используются выводы D0–D7); • 4‑разрядном (для обмена информацией используются выводы D4–D7). В представленном примере вывод данных на экран дисплея разрешением 16 символов на две строки выполнен в 4‑разрядном режиме [4]. В окне свойств микроконтроллера AT90S8515 заданы следующие параметры: • поле Clock Frequency — значение тактовой частоты микроконтроллера (4 МГц); • поле Advanced Properties — значение частоты сторожевого таймера Watchdog Clock (4 МГц); • поле Program File — путь к .hex- (или .cof-) файлу на диске компьютера. Для соединения с внешними устройствами используются порты микроконтроллера (РС — для передачи команд/данных и управляющих сигналов в микросхему дисплея, PD — для взаимодействия с клавиатурой). Формирование программного кода управления собранной схемой (рис. 27) и его компиляцию выполним с помощью CodeVisionAVR 3.12 (интегрированной среды разработки программного обеспечения для микроконтроллеров семейства AVR фирмы Atmel, которая имеет в своем составе компилятор языка С для AVR).
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
САПР
Рис. 27. Подключение матричной клавиатуры KEYPAD-PHONE размером 3×4 к микроконтроллеру AT90S8515
Текст программы сканирования матричной телефонной клавиатуры размером 34: #asm .equ __lcd_port=0x15 // для подключения LCD-дисплея // используем порт РС #endasm // подключение заголовочных файлов, в которых содержатся // прототипы функций #include <lcd.h> #include <stdio.h> #include <delay.h> #include <90s8515.h> #define F_XTAL 4000000L // тактовая частота микроконтроллера #define KEYIN PIND // PIND0..3 — входы строк #define KEYOUT PORTD // PORTD4..6 — выходы столбцов // идентификаторы для инициализации счета таймера/счетчика 0 #define INIT_TIMER0 TCNT0=0x100L-F_XTAL/64L/500L #define FIRST_COLUMN 0x80 #define LAST_COLUMN 0x10 typedef unsigned char byte; unsigned keys, k; char buf[33]; // функция обслуживания прерывания по переполнению // таймера/счетчика 0 interrupt [TIM0_OVF] void timer0_int(void) { static byte key_pressed_counter=20; static byte key_released_counter, column=FIRST_COLUMN; static unsigned row_data, crt_key; // заново инициализируем таймер/счетчик 0 INIT_TIMER0; row_data<<=4; // получим группу 4 кнопок в переменной row_data row_data|=~KEYIN&0xf; column>>=1; if (column==(LAST_COLUMN>>1)) { column=FIRST_COLUMN; if (row_data==0) goto new_key; if (key_released_counter) --key_released_counter; else { if (--key_pressed_counter==9) crt_key=row_data; else { if (row_data!=crt_key) { new_key: key_pressed_counter=10; key_released_counter=0; goto end_key; }; if (!key_pressed_counter) { keys=row_data; key_released_counter=20; key_pressed_counter=10; }; }; }; end_key:; row_data=0; };
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
проектирование
85
Рис. 29. Программа сканирования клавиатуры телефона в окне кода CodeVisionAVR и результат ее компиляции
// выберем следующий столбец KEYOUT=~column; } // проверим, была ли нажата кнопка unsigned inkey(void) { if (k=keys) keys=0; return k; } void init_keypad(void) { DDRD=0xf0; INIT_TIMER0; TCCR0=3; TIMSK=2; #asm("sei") } // основная функция программы void main() { init_keypad(); lcd_init(16); lcd_putsf("Press any key:"); // прочитаем кнопку и отобразим ее значение while (1) { lcd_gotoxy(0,1); if (k=inkey()) { if (k==0x0008) { sprintf(buf,"Key = *",k); lcd_puts(buf); } else if (k==0x0080) { sprintf(buf,"Key = 0",k); lcd_puts(buf); } else if (k==0x0800) { sprintf(buf,"Key = #",k); lcd_puts(buf); } else if (k==0x0004) { sprintf(buf,"Key = 7",k); lcd_puts(buf); } else if (k==0x0040) { sprintf(buf,"Key = 8",k); lcd_puts(buf); } else if (k==0x0400) { sprintf(buf,"Key = 9",k); lcd_puts(buf); } else if (k==0x0002) { sprintf(buf,"Key = 4",k); lcd_puts(buf); } else if (k==0x0020) { sprintf(buf,"Key = 5",k); lcd_puts(buf); } else if (k==0x0200) { sprintf(buf,"Key = 6",k); lcd_puts(buf); } else if (k==0x0001) { sprintf(buf,"Key = 1",k); lcd_puts(buf); } else if (k==0x0010) { sprintf(buf,"Key = 2",k); lcd_puts(buf); } else if (k==0x0100) { sprintf(buf,"Key = 3",k); lcd_puts(buf); } } else lcd_putsf("NO KEY "); delay_ms(500); }}
Откроем программу CodeVisionAVR, командой основного меню File/New/Project создадим новый проект, откажемся от применения генератора кода CodeWizardAVR. В окне настройки параметров проекта CodeVisionAVR (Configure Project) перейдем на вкладку C Compiler, выберем закладку Code Generation (рис. 28) и укажем:
Рис. 28. Закладка Code Generation вкладки C Compiler окна настройки параметров проекта CodeVisionAVR, в котором используется микроконтроллер AT90S8515
• размер стека данных в байтах (поле Data Stack Size) — для компиляции кода в нашем примере значения 256 будет достаточно; • размер кучи (поле Heap Size) и внутренней (поле Internal RAM Size) оперативной памяти — 0 и 512 байт соответственно; • тактовую частоту микроконтроллера (поле Clock) — 4 МГц; • модель памяти (поле Memory Model) — Small. Другие параметры оставим без изменений и нажмем на кнопку ОК. Введем текст программы в окне кода CodeVisionAVR и запустим командой основного меню Project/Build All компиляцию, по окончании которой будет выдан www.kite.ru
86
проектирование
САПР
а
б
в
г
д
Рис. 30. Результат моделирования схемы матричной клавиатуры KEYPAD-PHONE размером 3×4 после нажатия кнопки: а) 1; б) 8; в) *; г) #; д) когда на клавиатуре не нажата ни одна кнопка
отчет о наличии ошибок в коде программы (рис. 29). Если ошибки не обнаружены, на диске компьютера будут созданы .hexи .cof-файлы для записи в микроконтроллер. Перейдем в редактор Schematic Capture. В окне свойств микроконтроллера в поле Program File укажем путь к файлу прошивки на диске компьютера. Кнопкой Run the simulation, расположенной в левом нижнем
углу окна редактора, или командой основного меню Debug/Run Simulation запустим моделирование собранной схемы, результат которого представлен на рис. 30, где видно, что после нажатия кнопки на клавиатуре на экране дисплея отображается ее значение. В программе инициализации микроконтроллера проверка нажатой клавиши осуществляется с помощью оператора
if else в цикле while (1) основной функции void main(). Цикл выполняется бесконечно до остановки моделирования. Первой в цикле выполняется функция lcd_gotoxy с параметрами (0,1), которая определяет позицию вывода информации на экран дисплея (0 столбец 1 строки). Нумерация столбцов и строк начинается с нуля. Далее проверяется нажатие клавиши на клавиатуре, и если условие истинно,
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
САПР
а
проектирование
87
б
Рис. 31. Схема клавиатуры, собранной на основе кнопок с фиксацией, когда для соединения с микроконтроллером AT90S8515 задействовано: а) восемь линий; б) четыре линии
а
б
Рис. 32. Результат моделирования схемы клавиатуры, собранной на основе кнопок с фиксацией, когда для соединения с микроконтроллером задействовано 8 линий: а) нажата клавиша М; б) не нажата ни одна клавиша
то на экран дисплея выводится ее значение. Иначе — сообщение NO KEY (нет нажатых клавиш). Функция delay_ms(500) генерирует задержку 0,5 с. Во время выполнения функции main постоянно, через каждые 2 мс,
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
происходит прерывание по переполнению таймера/счетчика 0 и вызывается функция timer0_int, которая производит опрос клавиатуры и в зависимости от нажатых клавиш формирует соответствующий код. Младший
(первый) бит кода соответствует столбцу 1, старший (третий) — столбцу 3. Если в столбце нажата кнопка в строке А, то в этом бите будет число 1, если в строке В — число 2, С — 4, D — 8. Также из основной функции программы void main() осуществляется последовательный вызов функций: • init_kaypad() — функция инициализирует порт РD микроконтроллера, таймер/счетчик 0 и настраивает систему прерываний. В первой строке функции дана команда DDRD=0xf0;, которая записывает в регистр DDRD порта РD число 0xf0 (0x0f=0b 1111 0000). Таким образом, все выводы старшего полубайта этого порта (биты PD4–PD7) определяются как выходы, а все выводы младшего полубайта (биты PD0–PD3) — как входы. Далее выполняется инициализация таймера/счетчика 0 (команда INIT_TIMER0;). В следующей строке кода командой TCCR0=3; в регистр управления таймером/счетчиком 0 записывается число 3, тем самым коэффициент деления предварительного делителя частоты таймера/счетчика 0 устанавливается равным 64, то есть таймер/счетчик 0 будет тактироваться частотой в 64 раза меньше системной (частоты кварца). При таких параметрах прерывания по переполнению таймера/счетчика 0 будут происходить примерно каждые 2 мс. Командой TIMSK=2; в регистр маски прерывания от таймеров/счетчиков TIMSK записывается число 2, что разрешает прерывание по переполнению таймера/счетчика 0. Глобальное разрешение прерываний осуществляется с помощью ассемблерного кода #asm ("sei"); • lcd_init(16) с параметром 16 (количество столбцов в LCD-модуле) — для инициализации LCD-модуля, очистки дисплея и установки позиции для вывода символа в 0 ряд 0 столбца; • lcd_putsf("Press any key:") — для отображения в верхней строке экрана дисплея предложения нажать любую кнопку на клавиатуре. www.kite.ru
проектирование
88
САПР
а
д
б
е
в
г
ж
Рис. 33. Результат моделирования схемы матричной клавиатуры размером 1×4, собранной на основе кнопок с фиксацией, после нажатия кнопки: а) M; б) &; в) #; г) 0; д) двух; е) трех; ж) четырех кнопок
Функция interrupt [TIM0_OVF] void timer0_ int(void) — подпрограмма обслуживания прерывания по переполнению таймера/счетчика 0, в первой строке которой осуществляется доступ к системе прерываний микроконтроллера AT90S8515, на что указывает ключевое слово interrupt. Идентификатор TIM0_OVF соответствует номеру 8 вектора прерывания, что определено директивой #define в заголовочном файле 90s8515.h. timer0_int — имя функции, по которому осуществляется ее вызов в программе. Далее в подпрограмме обслуживания прерывания объявляются статические локальные переменные. В строке INIT_TIMER0; происходит инициализация таймера/счетчика 0, в его регистр TCNT0 записывается начальное значение, которое вычис-
ляется по формуле 0x100L-F_XTAL/64L/500L. С этого значения начинается счет таймера/счетчика 0. Функция timer0_int осуществляет опрос клавиатуры по следующему алгоритму. На каждый вывод старшего полубайта порта РD (PD4–PD6) последовательно подается «лог. 0», считывается значение младшего полубайта порта РD и записывается в младший полубайт переменной row_data. Предыдущее значение переменной row_data предварительно сдвигается на один полубайт влево. Если в текущем столбце (на который подан 0) есть нажатая кнопка, то на входах в бите, который соответствует ряду с нажатой кнопкой, также появится 0. Таким образом, в переменной row_data получается код нажатой
кнопки. Каждый вывод младшего полубайта порта РD (PD0–PD3) сконфигурирован как вход и подключен к соответствующей строке клавиатуры. Каждый вывод старшего полубайта порта РD (PD4–PD6) сконфигурирован как выход и подключен к соответствующему столбцу клавиатуры. Первоначально на всех четырех выводах младшего полубайта порта РD (PD0–PD3) присутствует уровень логической 1 благодаря подтягивающим резисторам R1–R4 сопротивлением 1k. После полного опроса клавиатуры в переменной row_data будет находиться код нажатой кнопки. Если ни одна кнопка не нажата, то в переменной row_data будет значение 0b 0000 0000 0000 0000. Состояние соответствующей кнопки клавиатуры (1 — кнопка
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
САПР
проектирование
89
нажата, 0 — отжата) хранится в битах глобальной переменной keys, которая объявляется в строке unsigned keys. Если кнопка нажата, ее значение будет отображено на экране дисплея. Порт микроконтроллера для подключения LCD-дисплея в программе определен с помощью ассемблерного кода: #asm .equ __lcd_port=0x15 #endasm
Формирование матричной клавиатуры на основе кнопок с фиксацией Кнопки с фиксацией — это переключатели, которые могут находиться в одном из двух состояний (открытом или закрытом). Такие кнопки размыкают контакты и возвращаются в исходное положение только при повторном нажатии. Рассмотрим работу матричной клавиатуры, собранной на основе кнопок с фиксацией, нажатие клавиш которой определяет микроконтроллер AT90S8515. На рис. 31а показана схема клавиатуры, которая сформирована из четырех таких кнопок. В данном варианте соединения использовано восемь линий микроконтроллера. В схеме задействовано четыре резистора R1–R4 сопротивлением 11k и четыре диода 1N4148. Результат моделирования схемы представлен на рис. 32, где видно, что после фиксации одной из кнопок (рис. 32а) ее значение отображается на экране дисплея (в нашем примере это буква М). Если нет нажатых кнопок, на экран дисплея выводится сообщение NO KEY (рис. 32б). Для управления собранной схемой модифицируем цикл чтения нажатой клавиши и отображения ее значения на экране дисплея основной функции программы сканирования матричной телефонной клавиатуры размером 34. Программа сканирования клавиатуры, собранной на основе кнопок с фиксацией, когда для соединения с микроконтроллером задействовано восемь линий: #asm .equ __lcd_port=0x15 #endasm #include <lcd.h> #include <stdio.h> #include <delay.h> #include <90s8515.h> #define F_XTAL 4000000L #define KEYIN PIND #define KEYOUT PORTD #define INIT_TIMER0 TCNT0=0x100L-F_XTAL/64L/500L #define FIRST_COLUMN 0x80 #define LAST_COLUMN 0x10 typedef unsigned char byte; unsigned keys; char buf[33]; interrupt [TIM0_OVF] void timer0_int(void) { static byte key_pressed_counter=20; static byte column=FIRST_COLUMN; static unsigned row_data, crt_key; INIT_TIMER0; row_data<<=4;
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Рис. 34. Схема калькулятора с использованием матричной клавиатуры KEYPAD-SMALLCALC, пользовательской клавиатуры, буквенно-цифрового дисплея и микроконтроллера ATmega16
row_data|=~KEYIN&0xf; column>>=1; if (column==(LAST_COLUMN>>1)) { column=FIRST_COLUMN; if (--key_pressed_counter==9) crt_key=row_data; else { if (row_data!=crt_key) key_pressed_counter=10; else { if (!key_pressed_counter) { keys=row_data; key_pressed_counter=10; }; }; }; row_data=0; }; KEYOUT=~column; } void init_keypad(void) { DDRD=0xf0; KEYOUT=~FIRST_COLUMN; INIT_TIMER0; TCCR0=3; TIMSK=2; #asm("sei") } void main() { init_keypad(); lcd_init(16); lcd_putsf("Press any key:"); // прочитаем кнопку и отобразим ее значение while (1) { lcd_gotoxy(0,1); if (keys) { if (keys==0x0001) { sprintf(buf,"Key = M"); lcd_puts(buf); } else if (keys==0x0020) { sprintf(buf,"Key = &"); lcd_puts(buf); } else if (keys==0x0400) { sprintf(buf,"Key = #"); lcd_puts(buf); } else if (keys==0x8000) { sprintf(buf,"Key = 0"); lcd_puts(buf); } } else lcd_putsf("NO KEY "); delay_ms(500); }}
Внесем изменения в схему, уменьшив количество соединительных линий до четырех (рис. 31б), таким образом получив матричную клавиатуру размером 14. В схеме задействовано четыре резистора — R1–R4 сопротивлением 11k и один диод 1N4148. После нажатия кнопки на экране буквенно-цифрового дисплея отображается ее шестнадцатеричный код. Если зафиксировано нескольких клавиш, на экране дисплея отобразится сумма их кодов. Основная функция програм-
мы сканирования матричной клавиатуры размером 14, собранной на основе кнопок с фиксацией: void main() { init_keypad(); lcd_init(16); lcd_putsf("Press any key:"); // прочитаем кнопку и отобразим ее код while (1) { lcd_gotoxy(0,1); if (keys) { sprintf(buf,"Key kode=% 04Xh",keys); lcd_puts(buf); } else lcd_putsf("NO KEY "); delay_ms(500); }}
Результат моделирования схемы матричной клавиатуры размером 14 представлен на рис. 33. После нажатия кнопки М на экране отображается код 0001h (рис. 33а), после нажатия кнопки & — код 0002h (рис. 33б). Нажатие кнопок # и 0 выводит на экран коды 0004h (рис. 33в) и 0008h (рис. 33г) соответственно. На рис. 33д–ж показан результат работы программы, когда одновременно нажаты две (рис. 33д), три (рис. 33е) и четыре (рис. 33ж) кнопки. Когда нажаты кнопки М и &, на экране дисплея отобразится код 0003h (сумма кодов 0001h и 0002h). После нажатия третьей кнопки, #, на экране отобразится код 0007h (сумма кодов 0001h, 0002h и 0004h). Если нажаты все четыре кнопки — на экран выводится код 000Fh (сумма кодов всех четырех кнопок).
Проектирование калькулятора Создание калькулятора с использованием буквенно-цифрового дисплея Рассмотрим еще один пример, в котором после нажатия функциональных клавиш клавиатуры калькулятора будут выполняться www.kite.ru
проектирование
90
САПР
а
б
в
г
Рис. 35. Выбор: а) микроконтроллера ATmega16 из раздела AVR Family библиотеки Microprocessor ICs; б) буквенно-цифрового дисплея LM016L из раздела Alphanumeric LCDs библиотеки Optoelectronics; в) клавиатуры KEYPAD-SMALLCALC из раздела Keypads библиотеки Switches&Relays; г) резистора MINRES100R из раздела 0.6W Metal Film библиотеки Resistors
соответствующие вычисления арифметических операций и тригонометрических функций, а их результат отобразится на экране дисплея. Как и в предыдущих примерах, в качестве дисплея воспользуемся микросхемой LM016L разрешением 16 символов на две строки, работающей в 4‑разрядном режиме (для обмена информацией с микроконтроллером используются выводы D4– D7). Ввод чисел (0–9) и символов операций осуществляется нажатием левой кнопкой мыши на соответствующие кнопки калькулятора. Клавиша ON/C очищает экран дисплея. У калькулятора имеется четыре арифметические операции (сложение, вычитание, умножение, деление). Простые вычисления производятся за четыре шага: 1. Ввод первого числа. 2. Ввод операции. 3. Ввод второго числа. 4. Нажатие кнопки знака равенства. Введенное выражение и результат отображаются на экране дисплея. Откроем редактор Schematic Capture программы Proteus и соберем схему с использованием матричной клавиатуры KEYPAD-SMALLCALC размером 44 и микроконтроллера ATmega16 (рис. 34). Также в схеме применяется пользовательская модель клавиатуры размером 33, которая содержит кнопки функции вычисления квадратного корня, нахождения значений экспоненциальной и тригонометрических функций, таких как косинус, синус, тангенс и другие. Для соединения с внешними устройствами используются порты микроконтроллера (РВ — для передачи команд/данных и управляющих сигналов в микросхему дисплея, PD — для взаимодействия с клавиатурой размером 44, РС — для взаимодействия с клавиатурой размером 33). Добавление микросхемы микроконтроллера ATmega16 выполняют из раздела AVR Family библиотеки Microprocessor ICs (рис. 35а). Микросхема буквенно-цифрового дисплея LM016L находится в разделе Alphanumeric LCDs библиотеки Optoelectronics (рис. 35б). Указанное в окне свойств дисплея (рис. 36а) значение тактовой частоты Clock Frequency (поле Advanced Properties) должно совпадать с частотой работы микроконтроллера (в нашем примере — 1 МГц), которую задают в поле Clock Frequency окна его свойств (рис. 36б). Также в окне указывают путь к hex-файлу на диске компьютера (поле Program File) и значение частоты сторожевого таймера Watchdog Clock (поле Advanced Properties) — в нашем примере 1 МГц. Линии PD0–PD3 микроконтроллера подключены к строкам A, B, C, D клавиатуры размером 44 и подтянуты к шине питания резисторами R1–R4 сопротивлением 10 кОм. Символ питания добавляют в схему, выбрав на панели TERMINALS строку POWER. Панель открывают нажатием кнопки Terminals Mode на левой панели схемно-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
САПР
проектирование
91
в а
б
Рис. 36. Окно свойств: а) дисплея LM016L; б) микроконтроллера ATmega16; в) резистора
зультата. Нажатие клавиши ON/C очищает экран дисплея. Опрос клавиш клавиатуры калькулятора осуществляется в цикле while (1) основной функции программы, который выполняется бесконечно до остановки моделирования. Текст программы управления схемой калькулятора:
Таблица 1. Клавиши клавиатуры KEYPAD-SMALLCALC размером 4×4 и их код Символ клавиши
Код клавиши
0 1 2 3 4 5 6 7 8 9 / * – + = ON/C
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
Таблица 2. Клавиши пользовательской клавиатуры размером 33 и их код Символ клавиши
Код клавиши
sin
16
cos
17
tan
18
cot
19
asin
20
acos
21
log
22
sqrt
23
exp
24
го редактора (рис. 37). Модель клавиатуры KEYPAD-SMALLCALC находится в разделе Keypads библиотеки Switches&Relays (рис. 35в). В схеме используется семь резисторов MINRES100R, которые добавляют в рабочую область проекта из раздела 0.6W Metal Film библиотеки Resistors (рис. 35г). Сопротивление резистора задают в поле Resistance окна его свойств (рис. 36в), которое открывают двойным щелчком левой кнопки мыши по компоненту на схеме. Также в окне в поле Model Type указывают тип модели резистора (в нашем примере — DIGITAL). Линии PD4–PD7 микроконтроллера подключены к столбцам 1–4 клавиатуры KEYPAD-SMALLCALC. Линии PС0–PС2 подключены к строкам A, B, C клавиатуры раз-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Рис. 37. Открытие с помощью кнопки Terminals Mode панели TERMINALS и выбор символа питания
// подключение заголовочных файлов, в которых содержатся // прототипы функций #include <mega16.h> #include <delay.h> #include <lcd.h> #include <stdlib.h> #include <math.h> #asm .equ __lcd_port=0x18
мером 33 и подтянуты к шине питания резисторами R5–R7 сопротивлением 10 кОм. Линии PC5–PC7 подключены к столбцам 1–3. Формирование программного кода управления собранной схемой и его компиляцию выполним с помощью CodeVisionAVR 3.12. Вычисление арифметических операций, экспоненциальной и тригонометрических функций организуем с помощью соответствующих функций программы инициализации микроконтроллера. В нашем примере это функции Arifmetic, Exponenta и Trigonometric. С помощью функции Math осуществляется определение клавиатуры, на которой нажата клавиша арифметической операции или тригонометрической функции, и вывод соответствующего ей символа на экран дисплея. Код клавиатуры хранится в переменной Kl. Если значение переменной равно 1, то клавиша нажата на клавиатуре размером 44, если 2 — то на клавиатуре размером 33. В переменной Kod хранится код клавиш, формирование которого происходит с помощью функции key. Соответствие кода клавиш их названиям представлено в таблицах 1 и 2. С помощью функции _Main на экране дисплея формируется строка выражения, которое необходимо вычислить. После нажатия кнопки «=» выполняется переход к реализации одной из функций (Arifmetic, Exponenta и Trigonometric) вычисления ре-
// для подключения LCD-дисплея // используем порт РВ
#endasm // объявляем функции программы int key(void); float _Main(void); void Trigonometric(void); void Arifmetic(void); void Math(int,int); float Exponenta(int); float a = 0, b = 0, c = 0, q, t; int i; char y=0, lcd[25], z; void main(void) // основная функция программы { DDRB=0x0F; // инициализация портов микроконтроллера DDRC=0x07; DDRD=0x0F; lcd_init(16); while (1){
// инициализация дисплея // бесконечный цикл опроса кнопок клавиатуры // калькулятора
_Main(); } } float _Main(void){ int Loop = 1; y = key(); // определение нажатой клавиши // если нажата клавиша ON/C if( y == 15 ) {a = 0; b = 0; c = 0; lcd_clear(); // очистка экрана дисплея return 0; } if( y < 10 ) { a = (a*10)+y; itoa(y, lcd); lcd_puts(lcd); delay_ms(50); }
// если код клавиши меньше 10, // то нажата клавиша цифры
// выведем символ нажатой клавиши // на экран дисплея // задержка 50 мс
// если код клавиши больше 9 и меньше 16 (но не 15), // то нажата клавиша арифметических операций if( y > 9 && y < 16 ){
www.kite.ru
проектирование
92
if( y == 15 ) // если нажата клавиша ON/C {a = 0; b = 0; c = 0; lcd_clear(); // очистка экрана дисплея return 0; } z = y; Math(y,1); // выведем соответствующую арифметическую // операцию на экран дисплея while(Loop){ y = key(); // определение нажатой клавиши if( y == 15 ) {a = 0; b = 0; c = 0; lcd_clear(); return 0; } if( y < 10 ){ // если код клавиши меньше 10, // то нажата клавиша цифры b = (b*10)+y; itoa(y, lcd); lcd_puts(lcd); // выведем символ нажатой клавиши на экран дисплея delay_ms(50); // задержка 50 мс } else if(y == 14){ // если нажата клавиша = lcd_putchar('='); // отобразим ее значение на экране дисплея Arifmetic(); // выполнение арифметических операций y = 0; Loop = 0; } }} if( y > 15 )
// если код клавиши больше 15, то нажата клавиша // тригонометрических функций
{ lcd_clear(); // очистим дисплей a = 0; b = 0; c = 0; Math(y,2); // выведем соответствующую функцию // на экран дисплея z = y; Loop = 1; while(Loop){ y = key(); // определение нажатой клавиши if( y == 15 ) {a = 0; b = 0; c = 0; lcd_clear(); return 0; } if(y < 10){ // если код клавиши меньше 10, // то нажата клавиша цифры a = (a*10) + y; itoa(y , lcd); lcd_puts(lcd); // выведем символ нажатой клавиши // на экран дисплея delay_ms(50); } else if ( y == 14){ // если нажата клавиша = lcd_putchar('='); // отобразим ее значение на экране дисплея Trigonometric(); // нахождение значений // тригонометрических функций } Loop = 1 ; }} return 0; } // выполнение арифметических операций void Arifmetic(void){ if(z == 10) c = a / b; if(z == 11) c = a * b; if(z == 12) c = a – b; if(z == 13) c = a + b; ftoa(c , 3 , lcd); lcd_puts(lcd); // вывод полученного значения на экран дисплея delay_ms(100); // задержка 100 мс }
САПР
void Math(int Kod,int Kl){ if(Kl == 1){ // нажата клавиша на клавиатуре размером 44 if(Kod == 10) lcd_putchar('/'); if(Kod == 11) lcd_putchar('*'); if(Kod == 12) lcd_putchar('-'); if(Kod == 13) lcd_putchar('+'); delay_ms(100); } if(Kl == 2){ // нажата клавиша на клавиатуре размером 33 if(Kod == 16) lcd_putsf("Sin "); if(Kod == 17) lcd_putsf("Cos "); if(Kod == 18) lcd_putsf("Tan "); if(Kod == 19) lcd_putsf("Cot "); if(Kod == 20) lcd_putsf("aSin "); if(Kod == 21) lcd_putsf("aCos "); if(Kod == 22) lcd_putsf("Log "); if(Kod == 23) lcd_putsf("Sqrt "); if(Kod == 24) lcd_putsf("exp "); delay_ms(100);} } int key(void){ // проверка нажатой клавиши на одной из клавиатур char KEY = 1 ; while(KEY) { // проверяем нажатие клавиш на клавиатуре калькулятора 44 // формирование кода клавиш PORTD.0 = 1; PORTD.1 = 0; PORTD.2 = 0; PORTD.3 = 0; if(PIND.4 == 1){return 7; KEY = 0; delay_ms(50);} if(PIND.5 == 1){return 8; KEY = 0; delay_ms(50);} if(PIND.6 == 1){return 9; KEY = 0; delay_ms(50);} if(PIND.7 == 1){return 10; KEY = 0; delay_ms(50);} PORTD.0 = 0; PORTD.1 = 1; PORTD.2 = 0; PORTD.3 = 0; if(PIND.4 == 1){return 4; KEY = 0; delay_ms(50);} if(PIND.5 == 1){return 5; KEY = 0; delay_ms(50);} if(PIND.6 == 1){return 6; KEY = 0; delay_ms(50);} if(PIND.7 == 1){return 11; KEY = 0; delay_ms(50);} PORTD.0 = 0; PORTD.1 = 0; PORTD.2 = 1; PORTD.3 = 0; if(PIND.4 == 1){return 1; KEY = 0; delay_ms(50);} if(PIND.5 == 1){return 2; KEY = 0; delay_ms(50);} if(PIND.6 == 1){return 3; KEY = 0; delay_ms(50);} if(PIND.7 == 1){return 12; KEY = 0; delay_ms(50);} PORTD.0 = 0; PORTD.1 = 0; PORTD.2 = 0; PORTD.3 = 1; if(PIND.4 == 1){return 15; KEY = 0; delay_ms(50);} if(PIND.5 == 1){return 0; KEY = 0; delay_ms(50);} if(PIND.6 == 1){return 14; KEY = 0; delay_ms(50);} if(PIND.7 == 1){return 13; KEY = 0; delay_ms(50);} // проверяем нажатие клавиш на пользовательской клавиатуре // формирование кода клавиш PORTC.0 = 1; PORTC.1 = 0; PORTC.2 = 0; if(PINC.5 == 1){return 16; KEY=0;} if(PINC.6 == 1){return 17; KEY=0;} if(PINC.7 == 1){return 18; KEY=0;}
// расчет значения экспоненциальной функции float Exponenta(int rr){ q=1; for(i=0;i<rr;i++)q = q * 2.71728 ; return q; }
PORTC.0 = 0; PORTC.1 = 1; PORTC.2 = 0; if(PINC.5 == 1){return 19; KEY=0;} if(PINC.6 == 1){return 20; KEY=0;} if(PINC.7 == 1){return 21; KEY=0;}
// нахождение значений тригонометрических функций void Trigonometric(void){ t = (3.1415926535897932384626433832795/180)*a; if(z == 16) c = sin(t); if(z == 17) c = cos(t); if(z == 18) c = tan(t); if(z == 19) c = 1/tan(t); if(z == 20) c = asin(t); if(z == 21) c = acos(t); if(z == 22) c = log(a); if(z == 23) c = sqrt(a); if(z == 24) c = Exponenta(a); ftoa(c , 3 , lcd); lcd_puts(lcd); // вывод полученного значения на экран дисплея delay_ms(100); }
PORTC.0 = 0; PORTC.1 = 0; PORTC.2 = 1; if(PINC.5 == 1){return 22; KEY=0;} if(PINC.6 == 1){return 23; KEY=0;} if(PINC.7 == 1){return 24; KEY=0;}
// определение нажатия клавиш арифметических операций, // экспоненциальной и тригонометрических функций, // функции вычисления квадратного корня // и вывод соответствующего им символа на экран дисплея
Рис. 38. Выбор микроконтроллера ATmega16 в окне New Project
KEY = 1 ; }}
Командой основного меню File/New/Project создадим новый проект в CodeVisionAVR. В процессе создания: • Откажемся от применения генератора CodeWizardAVR для формирования программного кода, для чего в окне Confirm нажмем на кнопку No.
Рис. 39. Закладка Code Generation вкладки C Compiler окна настройки параметров проекта CodeVisionAVR, в котором используется микроконтроллер ATmega16
• Выберем директорию размещения нового проекта, имя проекта и его тип. • Выполним выбор микроконтроллера (рис. 38), под управлением которого работает собранная схема (в нашем примере ATmega16), — более подробно эти действия были рассмотрены в [13]. • Откроем окно настройки параметров проекта CodeVisionAVR (Configure Project), перейдем на вкладку C Compiler, на которой выберем закладку Code Generation (рис. 39), где укажем: – размер стека данных в байтах (поле Data Stack Size) — для компиляции кода в нашем примере значения 256 будет достаточно; – размер кучи (поле Heap Size) и внутренней (поле Internal RAM Size) оперативной памяти — 0 и 1024 байт соответственно;
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
САПР
– тактовую частоту микроконтроллера (поле Clock) — 1 МГц; – модель памяти (поле Memory Model) — Small. Другие параметры оставим без изменений и нажмем на кнопку ОК. В результате будет создан новый проект CodeVisionAVR, в окне кода которого и будет вестись дальнейшее написание программы. Введем текст программы в окне кода CodeVisionAVR и запустим командой основного меню Project/Build All компиляцию, по окончании которой выдается отчет о наличии ошибок в коде программы (рис. 40). При этом в группе Headers на панели Code Navigator отобразится список заголовочных файлов с расширением *.h, функции которых применяются в программе. Если ошибки не обнаружены, то будет получено сообщение No errors, No warnings («нет ошибок», «нет предупреждений»), а на диске компьютера будут созданы .hex- и .cof-файлы для записи в микроконтроллер. Перейдем в редактор Schematic Capture программы Proteus, откроем окно свойств микроконтроллера и в поле Program File укажем путь к файлу прошивки на диске компьютера, полученному в результате компиляции программного кода (при условии отсутствия в коде ошибок). Кнопкой Run the simulation, расположенной в левом нижнем углу окна редактора, или командой основного меню Debug/Run Simulation запустим моделирование собранной схемы, результат которого представлен на рис. 41. При нажатии определенной кнопки клавиатуры ее значение отображается на экране буквенноцифрового дисплея (рис. 42). Рассмотрим работу калькулятора на конкретных примерах. Вычислим значение выражения «2 умножить на 2». Для этого нажмем на клавиатуре KEYPAD-SMALLCALC последовательно кнопки: «2», «*», «2», а затем на кнопку «=», после чего на экране дисплея появится строка сформированного выражения и результат вычисления арифметической операции умножения — число 4.000 (рис. 43а). Количество символов после запятой в полученном результате определяется вторым параметром функции
а
проектирование
93
Рис. 40. Программа управления схемой калькулятора, собранной с использованием буквенно-цифрового дисплея, в окне кода CodeVisionAVR и результат ее компиляции
а
б
Рис. 42. Приближенный вид буквенно-цифрового дисплея в рабочем поле схемного редактора после последовательного нажатия на клавиатуре калькулятора: а) цифр 01234 и знака операции умножения; б) тригонометрической функции sin и цифры 5
ftoa — в нашем примере это три символа. На рис. 43б–д показаны результаты вычисления значений следующих выражений: 1/999 (рис. 43б), 110–118 (рис. 43в), 51/19 (рис. 43г), 2561790+2394017 (рис. 43д). Нахождение значений функции вычисления квадратного корня, тригонометри-
ческих и экспоненциальной функций выполняют следующим образом. Сначала на пользовательской клавиатуре нажимают на кнопку нужной функции (функция отобразится на экране дисплея), а затем на клавиатуре KEYPAD-SMALLCALC выбирают значение аргумента функции. Отметим, что
б
Рис. 41. Результат работы программы управления схемой калькулятора: а) когда нет нажатых клавиш на клавиатуре; б) после нажатия нескольких клавиш
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
94
проектирование
САПР
а
Рис. 43. Результат моделирования работы схемы калькулятора. Вычисление значений выражений: а) 2*2; б) 1/999; в) 110–118; г) 51/19; д) 2561790+2394017
а
б
б
в
в
г
г
д
д
Рис. 44. Результат моделирования работы схемы калькулятора. Нахождение значений функции вычисления квадратного корня, тригонометрических и экспоненциальной функций: а) sqrt 9; б) sin 1; в) cos 1; г) tan 45; д) exp 1
Рис. 45. Схема калькулятора с использованием матричной клавиатуры KEYPAD-SMALLCALC, виртуального терминала и микроконтроллера ATmega16
каждому значению аргумента ставится в соответствие единственное значение функции. На рис. 44 показан результат нахождения значений следующих функций: sqrt 9 (рис. 44а), sin 1 (рис. 44б), cos 1 (рис. 44в), tan 45 (рис. 44г), exp 1 (рис. 44д). Создание калькулятора с использованием виртуального терминала Рассмотрим схему калькулятора (рис. 45), в которой после нажатия клавиш цифр и функциональных клавиш матричной клавиатуры KEYPAD-SMALLCALC размером 44 программой инициализации микроконтроллера ATmega16 выполняются вычисления арифметических операций (сложение, вычитание, умножение, деление). Введенное выражение и результат отображаются на экране виртуального терминала. В схеме используются четыре резистора R1–R4 сопротивлением 10 кОм. Для соединения с внешними устройствами (клавиатурой и виртуальным терминалом) используются порты РВ и РD микроконтроллера. Линии PВ0–PВ3 микроконтроллера подключены к строкам A, B, C, D клавиатуры и подтянуты к шине питания резисторами MINRES100R, тип модели которых (значение Model Type в окне свойств резистора) — DIGITAL. Линии PВ4–PВ7 микроконтроллера подключены к столбцам 1–4 клавиатуры. Вывод TXD микроконтроллера подсоединен к выводу RXD виртуального терминала, добавление которого в схему выполняют выбором строки с названием VIRTUAL TERMINAL на панели INSTRUMENTS (рис. 46). Панель открывают нажатием кнопки Virtual Instruments Mode на левой панели схемного редактора. В окне настроек виртуального терминала определим значения следующих параметров (рис. 47а): • Baud Rate — скорость обмена данными (9600 бод); • Data Bits — формат пакета данных (8 бит); • Parity — контроль четности (отсутствует — NONE); • Stop Bits — количество стоповых битов (1).
Рис. 46. Открытие с помощью кнопки Virtual Instruments Mode панели INSTRUMENTS и выбор виртуального терминала
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
САПР
а
Рис. 48. Закладка Code Generation вкладки C Compiler окна Configure Project б
Рис. 47. Настройка параметров: а) виртуального терминала; б) микроконтроллера ATmega16
Окно настроек открывают двойным щелчком левой кнопки мыши по размещенному на схеме виртуальному прибору. В окне свойств микроконтроллера (рис. 47б) укажем путь к hex-файлу на диске компьютера (поле Program File), значение частоты сторожевого таймера Watchdog Clock (поле Advanced Properties) — в нашем примере 8 МГц, а также частоту работы микроконтроллера (поле Clock Frequency) — 8 МГц. Передачу данных на экран виртуального терминала организуем по интерфейсу USART. В микроконтроллере ATmega16 присутствует один модуль универсального синхронно-асинхронного приемопередатчика, обеспечивающий полнодуплексный обмен по последовательному каналу. Для взаимодействия с программой предусмотрены три прерывания, запрос на генерацию которых формируется при наступлении следующих событий: «передача завершена», «регистр данных передатчика пуст» и «прием завершен». Выводы микроконтроллера ATmega16, используемые модулем USART, являются линиями ввода/вывода общего назначения. Линия PD0 (RXD) — вход USART, PD1 (TXD) — выход USART, PB0 (XCK) — вход/выход внешнего тактового сигнала USART. Модуль состоит из трех основных частей: блока тактирования, блока передатчика и блока приемника. Буферные регистры приемника и передатчика располагаются по одному адресу пространства ввода/вывода и обозначаются как регистр данных UDR. В этом регистре хранятся младшие 8 бит принимаемых и пере-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
даваемых данных. При чтении регистра UDR выполняется обращение к буферному регистру приемника, при записи — к буферному регистру передатчика. Для управления модулем USART используются три регистра: UCSRA, UCSRB, UCSRC. Работа передатчика разрешается установкой в 1 бита TXEN регистра UCSRB. При установке бита вывод TXD подключается к передатчику USART и начинает функционировать как выход независимо от установок регистров управления портом. Если используется синхронный режим работы, то переопределяется также функционирование вывода XCK. Передача инициируется записью передаваемых данных в буферный регистр передатчика — регистр данных UDR. Затем данные пересылаются из регистра UDR в сдвиговый регистр передатчика. После пересылки слова данных в сдвиговый регистр флаг UDRE регистра UCSRA устанавливается в 1, что означает готовность передатчика к получению нового слова данных. В этом состоянии флаг остается до следующей записи в буфер. Выключение передатчика осуществляется сбросом бита TXEN регистра UCSRB. Если в момент выполнения этой команды осуществлялась передача, сброс бита произойдет только после завершения текущей и отложенной передач, то есть после очистки сдвигового и буферного регистров передатчика. При выключенном передатчике вывод TXD может использоваться как контакт ввода/вывода общего назначения. Работа приемника разрешается установкой бита RXEN регистра UCSRB. При установке бита вывод RXD подключается к приемнику USART и начинает функционировать как вход независимо от установок регистров
проектирование
95
управления портом. Если используется синхронный режим работы, переопределяется также функционирование вывода XCK. Выключение приемника осуществляется сбросом бита RXEN регистра UCSRB. В отличие от передатчика приемник выключается сразу же после сброса бита, а значит, кадр, принимаемый в этот момент, теряется. Кроме того, при выключении приемника очищается его буфер, то есть теряются также все непрочитанные данные. При выключенном приемнике вывод RXD может использоваться как контакт ввода/вывода общего назначения. Формирование программного кода управления собранной схемой и его компиляцию выполним в CodeVisionAVR, для чего командой основного меню File/New/Project создадим новый проект. В процессе создания: • Откажемся от применения генератора CodeWizardAVR для формирования программного кода, для чего в окне Confirm нажмем на кнопку No. • Выберем директорию размещения нового проекта, имя проекта и его тип. • Выполним выбор микроконтроллера (поле Device Selection/Name окна New Project), под управлением которого работает собранная схема (в нашем примере ATmega16). • Откроем окно настройки параметров проекта CodeVisionAVR (Configure Project), перейдем на вкладку C Compiler, на которой выберем закладку Code Generation (рис. 48), где укажем: – размер стека данных в байтах (поле Data Stack Size) — для компиляции кода в нашем примере значения 512 будет достаточно; – размер кучи (поле Heap Size) и внутренней (поле Internal RAM Size) оперативной памяти — 0 и 1024 байт соответственно; – тактовую частоту микроконтроллера (поле Clock) — 8 МГц; – модель памяти (поле Memory Model) — Small. Другие параметры оставим без изменений и нажмем на кнопку ОК. В результате будет создан новый проект CodeVisionAVR, в окне кода которого и будет вестись дальнейшее написание программы. Для управления собранной схемой написана следующая программа: // подключение заголовочных файлов, в которых содержатся // прототипы функций #include <io.h> #include <mega16.h> #include <stdio.h> #include <stdlib.h> #include <math.h> #include <delay.h> #include <string.h> // объявляем функции программы int key(void); float _Main(void); void Arifmetic(void); void Math(int);
www.kite.ru
проектирование
96
САПР
float a = 0, b = 0, c = 0; char y=0, z, lcd[25]; #define F_CPU 8000000 // рабочая частота контроллера #define BAUD 9600L // скорость обмена данными #define UBRRL_value (F_CPU/(BAUD*16))–1 // согласно заданной // скорости подсчитываем значение для регистра UBRR void init_USART() { // функция инициализации USART UBRRL = UBRRL_value; // младшие 8 бит UBRRL_value UBRRH = UBRRL_value >> 8; // старшие 8 бит UBRRL_value UCSRB = (1<<TXEN); // бит разрешения передачи UCSRC = (1<< UCSZ0)|(1<< UCSZ1); // устанавливаем формат // 8 бит данных } void send_USART(char value[25]) { while(!( UCSRA & (1 << UDRE))); // ожидаем, когда очистится // буфер передачи UDR = value[0]; } // помещаем данные в буфер, // начинаем передачу void send_USART2(char value) { while(!( UCSRA & (1 << UDRE))); // ожидаем, когда очистится // буфер передачи UDR = value; } // помещаем данные в буфер, начинаем передачу void main(void) // основная функция программы { DDRB=0x0F; // инициализация портов микроконтроллера DDRD=0x00; init_USART(); // инициализация модуля USART while (1) // бесконечный цикл опроса кнопок клавиатуры // калькулятора { _Main(); } } float _Main(void){ int Loop = 1; y = key(); // определение нажатой клавиши // если нажата клавиша ON/C if( y == 15 ) {a = 0; b = 0; c = 0; send_USART2(0x0C); // очистка экрана терминала return 0; } if( y < 10 ) // если код клавиши меньше 10, // то нажата клавиша цифры { a = (a*10)+y; itoa(y, lcd); send_USART(lcd); // выведем символ нажатой клавиши // на экран терминала delay_ms(500); // задержка 500 мс } // если код клавиши больше 9 и меньше 16 (но не 15), // то нажата клавиша арифметической операции if( y > 9 && y < 16 ){ if( y == 15 ) // если нажата клавиша ON/C {a = 0; b = 0; c = 0; send_USART2(0x0C); // очистка экрана терминала return 0; } z = y; Math(y); // выведем соответствующую арифметическую // операцию на экран дисплея while(Loop){ y = key(); // определение нажатой клавиши if( y == 15 ) // если нажата клавиша ON/C {a = 0; b = 0; c = 0; send_USART2(0x0C); // очистка экрана терминала return 0; } if( y < 10 ){ // если код клавиши меньше 10, // то нажата клавиша цифры b = (b*10)+y; itoa(y, lcd); send_USART(lcd); // выведем символ нажатой клавиши // на экран дисплея delay_ms(500); // задержка 500 мс } else if(y == 14){ // если нажата клавиша = putchar('='); // отобразить ее значение на экране дисплея Arifmetic(); // выполнение арифметических операций y = 0; Loop = 0; } }} return 0; } // выполнение арифметических операций void Arifmetic(void) { if(z == 10) c = a / b; if(z == 11) c = a * b; if(z == 12) c = a – b; if(z == 13) c = a + b;
Рис. 49. Программа управления схемой калькулятора, собранной с использованием виртуального терминала, в окне кода CodeVisionAVR и результат ее компиляции
ftoa(c , 2 , lcd); // преобразуем результат в строку // (2 — количество знаков после запятой) printf("%s",lcd); // вывод результата на экран терминала delay_ms(500); } // определение нажатия клавиш арифметических операций // и вывод соответствующего им символа на экран дисплея void Math(int Kod){ if(Kod == 10) putchar('/'); if(Kod == 11) putchar('*'); if(Kod == 12) putchar('–'); if(Kod == 13) putchar('+'); delay_ms(100); // задержка 100 мс } int key(void){ char KEY = 1 ; while(KEY) { // проверяем нажатие клавиш на клавиатуре калькулятора // формирование кода клавиш PORTB.0 = 1; PORTB.1 = 0; PORTB.2 = 0; PORTB.3 = 0; if(PINB.4 == 1){return 7; KEY = 0; delay_ms(50);} if(PINB.5 == 1){return 8; KEY = 0; delay_ms(50);} if(PINB.6 == 1){return 9; KEY = 0; delay_ms(50);} if(PINB.7 == 1){return 10; KEY = 0; delay_ms(50);} PORTB.0 = 0; PORTB.1 = 1; PORTB.2 = 0; PORTB.3 = 0; if(PINB.4 == 1){return 4; KEY = 0; delay_ms(50);} if(PINB.5 == 1){return 5; KEY = 0; delay_ms(50);} if(PINB.6 == 1){return 6; KEY = 0; delay_ms(50);} if(PINB.7 == 1){return 11; KEY = 0; delay_ms(50);} PORTB.0 = 0; PORTB.1 = 0; PORTB.2 = 1; PORTB.3 = 0; if(PINB.4 == 1){return 1; KEY = 0; delay_ms(50);} if(PINB.5 == 1){return 2; KEY = 0; delay_ms(50);} if(PINB.6 == 1){return 3; KEY = 0; delay_ms(50);} if(PINB.7 == 1){return 12; KEY = 0; delay_ms(50);} PORTB.0 = 0; PORTB.1 = 0; PORTB.2 = 0; PORTB.3 = 1; if(PINB.4 == 1){return 15; KEY = 0; delay_ms(50);} if(PINB.5 == 1){return 0; KEY = 0; delay_ms(50);} if(PINB.6 == 1){return 14; KEY = 0; delay_ms(50);} if(PINB.7 == 1){return 13; KEY = 0; delay_ms(50);} KEY = 1; }}
Введем текст программы в окне кода CodeVisionAVR и запустим командой основного меню Project/Build All компиляцию, по окончании которой выдается отчет о наличии ошибок в коде программы (рис. 49). Перейдем в редактор Schematic Capture программы Proteus, откроем окно свойств микроконтроллера и в поле Program File укажем путь к файлу прошивки на диске компьютера, полученному в результате компиляции программного кода (при условии отсутствия в коде ошибок). Запустим моделирование собранной схемы, результат которого представлен на рис. 50. После нажатия на клавиатуре клавиш чисел (0–9) или символов арифметических операций их значение отображается на экране виртуального терминала. Клавиша ON/C стирает все символы на экране. Рассмотрим работу калькулятора на конкретных примерах. Вычислим значение выражения «9 разделить на 3». Для этого нажмем на клавиатуре KEYPAD-SMALLCALC последовательно кнопки: «9», «/», «3», а затем на кнопку «=», после чего на экране терминала появится строка сформированного выражения и результат вычисления арифметической операции деления — число 3.00 (рис. 50а). Кнопкой ON/C очистим экран терминала. На рис. 50б–д показан результат вычисления значений следующих выражений: 110–118 (рис. 50б), 1454*3 (рис. 50в), 51/19 (рис. 50г), 3245210+1567980 (рис. 50д). По умолчанию в схемном редакторе Proteus для отображения текста на экране терминала установлен западноевропейский шрифт Courier New с размером символов 9. Чтобы изменить шрифт или размер символов, запускают симуляцию схемы,
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
САПР
проектирование
а
б
в
г
97
д
Рис. 50. Результат моделирования работы схемы калькулятора, собранного с использованием виртуального терминала. Вычисление значений выражений: а) 9/3; б) 110–118; в) 1454*3; г) 51/19; д) 3245210+1567980
щелчком правой кнопки мыши в области открывшегося окна терминала вызывают контекстное меню и выбирают в нем пункт Set Font (рис. 51а). В результате откроется окно настройки шрифта (рис. 51б), где задают: шрифт (поле «Шрифт»), стиль шрифта (поле «Начертание»), размер шрифта (поле «Размер») и нажимают кнопку ОК. В представленных на рис. 50 примерах для отображения результатов на экране виртуального терминала установлен шрифт Courier New с полужирным начертанием и размером символов 16. n
а
Литература
б
Рис. 51. Установка шрифта виртуального терминала: а) выбор команды Set Font в контекстном меню, открытом из области окна терминала; б) окно настройки шрифта
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
1. Филатов М. Работа с микроконтроллерами AVR в программной среде Proteus 8.1 // Компоненты и технологии. 2015. № 11. 2. Филатов М. Работа с микроконтроллерами AVR в программной среде Proteus 8.1. Часть 2 // Компоненты и технологии. 2015. № 12. 3. Филатов М. Проектирование схем электрических принципиальных с использованием LCDдисплеев и светодиодных матриц в программной среде Proteus 8.1. Часть 1 // Компоненты и технологии. 2017. № 4. 4. Филатов М. Проектирование схем электрических принципиальных с использованием LCD-
дисплеев и светодиодных матриц в программной среде Proteus 8.1. Часть 2 // Компоненты и технологии. 2017. № 5. 5. ISIS Help. Labcenter Electronics, 2014. 6. CodeVisionAVR Help. HP InfoTech, 2014. 7. HD44780U (LCD-II) (Dot Matrix Liquid Crystal Display Controller/Driver). Hitachi, Ltd. 1998. 8. Евстифеев А. В. Микроконтроллеры AVR семейства Mega. Руководство пользователя. М.: Додэка-XXI, 2007. 9. Филатов М. Автоматизированное проектирование электронных устройств при помощи специализированного пакета Proteus 8.1 // Компоненты и технологии. 2015. № 3. 10. Proteus VSM Help, Labcenter Electronics, 2020. 11. Хартов В. Я. Микроконтроллеры AVR. Практикум для начинающих. М.: Издательство МГТУ им. Н. Э. Баумана, 2007. 12. Шпак Ю. А. Программирование на языке С для AVR и PIC микроконтроллеров. СПб., Корона-Век, 2011. 13. Колесникова Т. Проектирование схем микроэлектронных устройств с использованием клавиатуры в Proteus // Компоненты и технологии. 2021. № 12. 14. Голубцов М. С. Микроконтроллеры AVR: от простого к сложному. М.: Солон-Пресс, 2003.
www.kite.ru
проектирование
98
схемотехника
Введение в протокол OCPP 1.6. Часть 1
Андрей Тимофеев Timofeev_AY@nordenergogroup.com
Материал знакомит читателей с базовым инструментом для построения сетей электрических заправочных станций — коммуникационным протоколом Open Charge Point Protocol 1.6. В статье дается описание протокола: история появления, назначение протокола, понятие профилей и их описание.
Введение История протокола Open Charge Point Protocol, или OCPP (протокол открытой зарядной точки), начинается в 2009 году, к этому моменту электротранспорт в Европе входит в повседневную жизнь нарастающими темпами, а следом развивается рынок зарядной инфраструктуры. Появление на рынке новых производителей и операторов привело к ситуации, что у большинства производителей электрических зарядных станций (ЭЗС) сформировался свой проприетарный протокол коммуникации с управляющим сервером. Данная ситуация значительно усложняла и удорожала для компаний-операторов задачу построения сети и сопряжение ЭЗС от разных производителей с системами управления зарядными станциями. В 2009 году Голландский фонд инноваций и знаний в области интеллектуальной зарядки и инфраструктуры зарядки E‑Laad (в настоящее время — ElaadNL) инициировал разработку открытого стандарта связи, который позволил бы зарядным станциям от разных поставщиков и системам управления, развернутым на удаленных серверах, легко коммуницировать между собой. Первая публичная версия протокола OCPP 1.2 была выпущена в 2010 году, а в 2012‑м была опубликована версия протокола 1.5. В 2014 году при содействии фонда ElaadNL был основан Open Charge Alliance (OCA) — глобальный консорциум, объединяющий лидеров рынка зарядной инфраструктуры, результатом работы которого стало появление в 2015 году протокола OCPP 1.6.
Профили OCPP Протокол OCPP 1.6 предназначен для организации связи между зарядной точкой (Charge Point, CP), которая может представлять собой как небольшое настенное устройство для зарядки электромобиля в домашних условиях, так и полноценную электрическую зарядную станцию, и центральной системой, управляющей зарядной точкой. Протокол является частью программного обеспечения, управляющего зарядной станцией и центральной системой. При помощи данного протокола удаленная система управления зарядной точкой (Charge Point Management System, CPMS) способна управлять процессом заряда электрического автомобиля, производить мониторинг состояния зарядной точки, обновлять программное обеспечение (ПО) и реализовывать некоторое количество других необходимых функций. Базовым блоком данных протокола является OCPP-сообщение (OCPP message). При помощи таких сообщений происходит управление зарядной точкой и передача различных параметров и статусной информации от зарядной точки к центральной системе; всего существует 28 типов сообщений. CP и CPMS должны уметь принимать, обрабатывать и отправлять соответствующий ответ на входящее OCPPсообщение. Согласно спецификации протокола, различные функции и связанные с ними сообщения сгруппированы в профили (Profiles) [1]. Эти профили могут быть использованы заказчиками для определения
наличия поддержки необходимого функционала OCPP 1.6 зарядной станции или системы управления для конкретной выстраиваемой сети ЭЗС. Второе назначение этих профилей — прохождение тестов соответствия. Консорциум Open Charge Alliance, помимо разработки самого протокола, обеспечивает систему тестирования продуктов с поддержкой протоколов OCPP 1.6. Программа сертификации OCPP предоставляет разработчикам возможность подтвердить соответствие созданного программного обеспечения спецификации OCPP 1.6. В зависимости от реализованных профилей OCPP и роли устройства (CPMS или CP) можно получить несколько различных сертификатов. Всего в рамках спецификации протокола существует шесть профилей: • «Ядро» (Core) — базовый функционал протокола; • «Управление встроенным программным обеспечением» (Firmware Management) — поддержка управления обновлением встроенного ПО и загрузки файла журнала диагностики; • «Управление локальным списком авторизации» (Local Auth List Management) — функции для управления локальным списком авторизации зарядной станции; • «Бронирование» (Reservation) — поддержка функционала для бронирования зарядных каналов ЭЗС; • «Интеллектуальная зарядка» (Smart Charging) — базовая поддержка системы интеллектуальной зарядки; • «Удаленный запрос» (Remote Trigger) — реализация функционала, позволяющего центральной системе запрашивать сообщения, инициатором отправки которых является только зарядная точка. В зависимости от роли устройства необходимый минимальный набор профилей разнится — для CP как минимум необходим профиль «Ядро», для CPMS обязательна реализация всех шести профилей. Реализация профилей «Ядро», «Управление встроенным программным обеспечением», «Управление локальным списком авторизации» и «Бронирование» позволяет покрыть весь функционал протокола OCPP 1.5. Соответствие типов сообщений и профилей представлено в таблице [2]. Базовый профиль «Ядро» объединяет минимально необходимый набор функций для обеспечения процесса заряда электромобиля. OCPP-сообщения данного профиля позволяют зарядной точке произвести аутентификацию пользователя, пытающегося зарядить автомобиль. В процессе зарядки CP и CPMS обмениваются различной информацией при помощи сообщений из этого профиля: • получение разрешения на пуск или остановку зарядки, отслеживания статуса зарядки; • передача информации о параметрах текущей сессии (сила тока, выходное напряжение, длительность зарядки); • управление фиксацией коннектора зарядного кабеля и другая различная системная информация. Профиль «Ядро» обязателен для реализации на стороне зарядной точки, в то время как остальные профили являются опциональными. Оператор зарядной точки (Сharge Point Operator, CPO) способен создать обширную сеть ЭЗС как по количеству станций, так и по тер-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
схемотехника
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
99
Authorize Boot Notification Change Availability Change Configuration Clear Cache Data Transfer Get Configuration Heartbeat Meter Values Remote Start Transaction Remote Stop Transaction Reset Start Transaction Status Notification Stop Transaction Unlock Connector Get Diagnostics Diagnostics Status Notification Firmware Status Notification Update Firmware Get Local List Version Send Local List Cancel Reservation Reserve Now Clear Charging Profile Get Composite Schedule Set Charging Profile Trigger Message
Удаленный запрос
Интеллектуальная зарядка
Бронирование
Управление локальным списком авторизации
OCPP-сообщение
Управление встроенным программным обеспечением
Таблица. Перечень OCPP-сообщений
Ядро
риториальному охвату. В таком случае появляется необходимость внедрения функционала для удаленного обновления программной прошивки CP. В спецификации OCPP 1.6 данный функционал реализован путем внедрения сообщений, объединенных в профиль «Управление встроенным программным обеспечением». При помощи сообщения Update Firmware центральная система оповещает зарядную точку о необходимости обновления, в теле сообщения CPMS указывает дату и время, после которого CP разрешено производить обновление, и URL, по которому доступна загрузка файлов новой версии встраиваемого ПО. Спецификация OCPP 1.6 для удаленной загрузки ПО рекомендует использовать протоколы FTP, FTPS (в связи с возможностью возобновления загрузки в случае аварийного обрыва сеанса связи), но допускает использование в том числе протоколов HTTP, HTTPS [3]. После получения сообщения Update Firmware зарядная точка должна начать загрузку прошивки как можно скорее, при этом в процессе загрузки (а в дальнейшем и при установке) CP должна отсылать центральной системе сообщение Firmware Status Notification с информацией о ходе загрузки или установки нового ПО. По завершении загрузки образа ПО зарядная точка должна приступить к установке, но если текущая версия ПО не поддерживает возможность одновременной зарядки и обновления, то CP должна прежде завершить все текущие зарядные сессии, перевести коннекторы в состояние «Недоступно» и приступить к установке нового ПО. Профиль «Бронирование» позволяет при помощи сообщения Reserve Now зарезервировать зарядный канал ЭЗС. Источником сообщения становится центральная система: она оповещает зарядную точку о крайнем сроке бронирования (после которого бронь снимается), передает информацию о зарядном коннекторе, который бронирует пользователь, идентификационную информацию о пользователе, а также ряд других системных полей. При получении сообщения зарядная точка должна отправить ответ, содержащий информацию о статусе бронирования: • «Принято» — резервирование подтверждено; • «Ошибка» — резервирование отклонено вследствие неисправности выбранного зарядного коннектора; • «Занят» — резервирование отклонено, так как зарядный коннектор уже занят; • «Отклонено» — зарядная точка не поддерживает профиль «Бронирование». Существующие на сегодня CPO реализуют сети ЭЗС по двум направлениям: бесплатные публичные зарядные станции и коммерческие зарядные станции. Для операторов коммерческих электрических зарядных станций важным функционалом является возможность ассоциировать текущую зарядную сессию с конкретным пользователем — провести идентификацию пользователя. Для реализации такой возможности в спецификацию протокола OCPP 1.6 включен профиль «Управление локальным списком авторизации». Для начала или остановки зарядной сессии пользователь должен авторизоваться, приложив фирменную RFID-карту оператора данной ЭЗС (наиболее распространенный способ, но стремительно устаревающий) к помеченному соответствующим значком месту на станции, либо авторизоваться при помощи мобильного приложения. В обоих случаях зарядная точка получает от пользователя информацию — idTag (Identification Tag) — уникальный идентификационный номер пользователя. Далее спецификация протокола предусматривает несколько вариантов действия. ЭЗС при помощи сообщения Authorize может запросить у центральной системы доступ к зарядному функционалу для обладателя данного идентификационного номера. В случае если центральная система даст отрицательный ответ, пользователь не сможет зарядить свой электромобиль. Вышеописанный вариант действия возможен только при наличии связи с удаленным сервером. Второй способ авторизации пользователя менее зависим от связи с центральным сервером (в некоторых случаях способен и вовсе исключить необходимость связи с CPMS). Зарядная точка должна поддерживать два механизма авторизации пользователей при отсутствии связи с центральной системой: локальный лист авторизации
проектирование
X X X X X X X X X X X X X X X X X X X X X X X X X X X X
(Local authorization list) и кэш авторизации (Authorization cache). Если станция поддерживает оба механизма, то в первую очередь авторизация проводится при помощи локального списка авторизации. Такие способы дают возможность пользоваться функционалом зарядной станции в отсутствие связи с центральной системой или повысить скорость авторизации при наличии низкоскоростной связи с CPMS. Локальный лист авторизации представляет собой базу данных пользователей, хранящуюся в энергонезависимой памяти ЭЗС. Лист авторизации хранит информацию об идентификационных номерах, их сроках действия и статусе доступа к ЭЗС. При наличии связи с CPMS станция загружает и обновляет лист авторизации. Кэш авторизации является такой же базой данных, содержащей идентификационные номера и разрешения. Ключевая разница в том, что кэш авторизации обслуживается самой станцией — CPMS никак не влияет на информацию в кэше, станция самостоятельно ведет запись обо всех идентификационных номерах, которые взаимодействовали с ней. Кэш авторизации и локальный лист авторизации — это отдельные логические структуры данных: известные идентификаторы в обеих структурах не могут мигрировать из одной структуры в другую. Например, если идентификационный номер появился в листе авторизации после синхронизации с центральной системой, то этот же идентификационный номер появится в кэше авторизации только после взаимодействия пользователя, которому принадлежит данный номер, с ЭЗС. Таким образом, при отсутствии связи CPMS или при наличии плохого соединения авторизация пользователя проводится при помощи локального листа авторизации и кэша авторизации. Если станция не нашла идентификационный номер ни в одной структуре, то дальнейшие действия зависят от настроек ЭЗС, установленных оператором станции: пользователю может быть разрешено зарядить транспортное средство до определенного уровня или же отказано в оказании услуги. n
Литература 1. Open Charge Point Protocol 1.6 edition 2 FINAL, Open Charge Alliance. 2. OCPP 1.6‑J Errata sheetv1.0 Release, Open Charge Alliance. 3. Open Charge Point Protocol JSON 1.6, OCPP-J 1.6 Specification, Open Charge Alliance.
www.kite.ru
100
проектирование
схемотехника
Активные компоненты AC/DC-источников питания
Стив Робертс (Steve Roberts) Перевод и комментарии: Владимир Рентюк
Вниманию читателей предлагается глава из книги “AC/DC Book of Knowledge Practical tips for the User” [1] («Книга знаний AC/DC — практические советы для пользователей») Стива Робертса, технического директора компании Recom. В этой части будут рассмотрены активные компоненты, которые широко применяются в AC/DC-источниках питания. Предыдущие статьи этой серии доступны по ссылкам [2–4]1.
Металлооксидный кремниевый полевой транзистор Металлооксидный кремниевый полевой транзистор (Metal Oxide Silicon Field Effect Transistor, MOSFET), или кремниевый МОПтранзистор, — рабочая лошадка большинства схем, так или иначе связанных с AC/DCисточниками питания. Основная конструкция такого транзистора (рис. 1) проста, а ее основное отличие — это затвор, изолированный от корпуса тонким слоем оксида металла. Области истока и стока сильно легированы (n+ и p+), так что для потока заряда существует полупроводниковый барьер. Когда прикладывается напряжение затвор-исток, превышающее пороговое напряжение, этот барьер преодолевается, и через транзистор течет ток. Неотъемлемой частью конструкции МОПтранзистора является внутренний паразитный диод, образованный p‑n‑переходом между областями p+ и n– (показан красным на рис. 1). Это означает, что МОПтранзистор можно использовать только для переключения униполярных напряжений. Однако в некоторых приложениях этот диод полезен в качестве защиты от выброса обратного напряжения (freewheeling diode, буквально: «свободновращающийся диод») и играет полезную роль для блокировки отрицательного напряжения на выключенном МОП-транзисторе. Эквивалентная модель МОП-транзистора, приведенная на рис. 2, показывает различные паразитные элементы, влияющие на характеристики переключения. Здесь (в переводе сохранены обозначения, принятые в [1], с некоторыми уточнениями): • Lgate, Ldrain и Lsource — индуктивности, определяющие импедансы выводов для подключения; • Rgate — сопротивление затвора; 1 Публикация переводов из книги [1] осуществляется с эксклюзивного разрешения, предоставленного переводчику ее автором — Стивом Робертсом (Steve Roberts M.Sc. B.Sc.), техническим директором компании Recom.
Рис. 1. Базовая конструкция планарного эпитаксиального n‑канального МОП-транзистора с вертикальной структурой
• RDS,on — сопротивление канала сток-исток при полном включении; • C miller — емкость затвор-сток, емкость Миллера; • Cgs — емкость затвор-исток; • CoS — емкость сток-исток, равная (CDS + Cmiller); • Coss — общая выходная емкость в режиме малого сигнала. Характеристики включения МОП-транзистора можно разделить на четыре этапа, или стадии, как это показано на рис. 3: • Этап 1. Подается напряжение включения затвора. Емкость Cgs заряжается через Lgate и Rgate. Транзистор все еще выключен. • Этап 2. Достигается пороговое напряжение затвора Vth. Ток стока ID начинает линейно увеличиваться пропорционально росту напряжения Vgate. • Этап 3. Плато Миллера достигнуто. Ток стока ID максимален, напряжение VDS начинает уменьшаться. Напряжение затвора остается постоянным, поскольку любой избыточный ток затвора отводится для заряда емкости Миллера. • Этап 4. Напряжение VDS почти достигло своего минимума (VDS,min = IDRDS,on), и теперь напряжение затвора может возрасти дальше, полностью открывая транзистор и уменьшая RDS,on до его минимального значения.
Рис. 2. Эквивалентная схема МОП-транзистора с сопутствующими паразитными компонентами
Характеристика выключения — это, по сути, тот же процесс, но в обратном порядке, как видно на рис. 4: • Этап 1. Подается напряжение выключения затвора. Емкости Cgs и Cgd разряжаются через резистор Rgate. Транзистор все еще включен. • Этап 2. Емкость Миллера Cmiller теперь полностью разряжена, и отрицательный ток затвора теперь может начать уменьшать заряд, накопленный ранее на CGS, тем самым выключая транзистор. Напряжение VDS начинает линейно увеличиваться, в то время как Vgs остается постоянным. КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
схемотехника
Рис. 3. Характеристика включения МОП-транзистора
Рис. 4. Характеристика выключения МОП-транзистора
• Этап 3. Теперь напряжение VDS стремится к уровню напряжения питания, а ток ID начинает уменьшаться. Напряжение затвора может еще больше снизиться, поскольку емкость CDS теперь полностью разряжена. • Этап 4. Транзистор полностью выключен, так как Vgate теперь находится ниже уровня VTH. Оставшееся время нужно просто для полной разрядки всех паразитных емкостей затвора. Практический совет. Как видно из харак‑ теристик включения и выключения, бывают периоды, когда напряжение на транзисторе и ток, протекающий через резистор, нахо‑ дятся в переходном состоянии. Одна опас‑ ная область — это этап 2 при выключении. Выходное напряжение нарастает, и оно со ско‑ ростью переключения dv/dt будет возвра‑ щаться через емкость Миллера и пытаться поднять напряжение на затворе. Если эффек‑ тивное сопротивление управления затвором слишком велико, транзистор может снова включиться! Аналогичный эффект может возникнуть во время включения на этапе 2. Ток стока нарастает, что повышает напряжение стока из-за индуктивностей на пути между стоком и «землей». Этот эффект называется «отскок от «земли» — явление, связанное с переключением транзисторов, когда напряжение на затворе может казаться меньше, чем локальный потенциал «земли», вызывая нестабильную работу затвора. Это снизит эффективное напряжение VGS, и транзистор снова может выключиться. Во время переходных периодов этапов 2 и 3 транзистор ведет себя как переменный резистор и рассеивает много энергии. Когда транзистор полностью выключен, через него протекают только токи утечки, а когда транзистор полностью включен — основные потери про-
исходят через сопротивление RDS,on, которое обычно находится в районе мОм и достаточно мало. Однако во время повторяющихся периодов включения/выключения рассеиваемая мощность будет намного выше, чем в полностью включенном состоянии. Упрощенный расчет управления затвором и оценки коммутационных потерь показан ниже:
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Pgate,on = (1/2)f SW QgateVgate (RDS,on /(RDS,on +Rgate,on)) Pgate,off = (1/2)f SW QgateVgate (RDS, off /(RDS,off +Rgate,off)), (1) где Qgate — это электрический заряд, то есть, количество электричества, необходимое для заряда емкостей затвора. Рассеиваемая мощность транзистора зависит от времени переключения:
PSW = ((VSupply IL)/2)) ((tstage2+tstage3)/T)), (2) где IL — это ток нагрузки; tstage2 и tstage3 — время, затрачиваемое на этапы 2 и 3 от общего времени переключения T соответственно. С сильноточным драйвером затвора длительность этапов 2 и 3 можно уменьшить, поэтому для управления затвора крайне важно использовать источник напряжения с низким собственным импедансом. Для дальнейшего снижения коммутационных потерь напряжение затвора может быть увеличено, что полезно для более быстрого заряда и разряда емкостей затвора. В частности, если напряжение затвора переключается на отрицательное значение, то время выключения по сравнению с простым переключением от напряжения выше VTH до 0 В может
проектирование
101
быть значительно сокращено. Однако существует предел напряжения затвора, определяемый напряжением пробоя между затвором и истоком BVDSS. Дело в том, что для уменьшения емкости затвора до минимума изоляционный слой из оксида металла делают весьма тонким. По этой причине напряжение пробоя оказывается очень низким и VGS, max обычно составляет ±(15–20) В. Другой способ уменьшить коммутационные потери — снизить частоту переключения fsw, но это может увеличить потери в других частях схемы или сократить время ее отклика до неприемлемых уровней. Единственный оставшийся фактор — заряд затвора, Qgate. Типичный низковольтный МОП-транзистор будет иметь общий заряд затвора около 5–10 нКл, но это значение также увеличивается с повышением VDS. Соответственно, МОП-транзистор с рабочим напряжением 700 В будет иметь общий заряд затвора около 10–25 нКл просто из-за более толстых эпитаксиальных слоев, необходимых для обеспечения более высокого напряжения пробоя.
Карбидокремниевый (SiC) металлооксидный полевой транзистор Карбидокремниевые металлооксидные полевые транзисторы, или SiC МОПтранзисторы, находят все более широкое применение в силовой электронике. Мостовые и полумостовые схемы нередко используются для высоковольтных приложений (в несколько сотен вольт), которые ранее были зарезервированы только для IGBT. Это связано с тем, что из-за крайне слабых характеристик внутренних паразитных диодов кремниевые МОП-транзисторы, особенно так называемые Super Junction (с суперпереходом), не подходят для данных приложений. Когда такие кремниевые МОПтранзисторы испытывают нежелательный эффект включения, их внутренний диод быстро разрушается. Часто даже одно ложное переключение при отрытом диоде приводит к превышению его максимального значения di /dt и таким образом разрушает полевой транзистор, либо такое переключение вызывает колебательный процесс на затворе, так что максимальное напряжение затвор-исток превышает максимально допустимое и транзистор оказывается выведенным из строя. В отличие от кремниевых в SiC МОПтранзисторах используется другая подложка с электрической прочностью, в 10 раз большей, чем у кремния, поэтому слои можно сделать тоньше, что позволяет уменьшить заряд затвора и значения RDS,on. Кроме того, карбид кремния имеет втрое лучшую теплопроводность, чем кремний, поэтому допустимая мощность для транзистора в корпусе того же самого типоразмера может быть соответственно увеличена. Схематическое www.kite.ru
102
проектирование
схемотехника
Рис. 5. Схематическое сравнение конструкции Si и SiC МОП-транзисторов
до 900 А/мкс с суперпереходом со сверхбыстрыми диодами, но эти значения бледнеют по сравнению с SIC МОП-транзисторами. Карбидокремниевые транзисторы способны переключать токи со скоростью до 6000 А/мкс. Основным недостатком SiC МОП-транзисторов считается более высокая стоимость, чем кремниевых, но эта разница со временем уменьшится (различие в цене между SiC МОП-транзистором и кремниевым с суперпереходом уже сопоставимо). Отличия между Si и SiC МОП-транзисторами подробно описаны в серии статей [6].
Биполярный транзистор с изолированным затвором — IGBT
Рис. 6. Эквивалентная модель n‑канального биполярного транзистора с изолированным затвором (n‑канальный IGBT)
Рис. 7. Сравнение возможностей блокировки IGBT- и МОП-транзисторов с n‑каналом
сравнение конструкции Si и SiC МОПтранзисторов показано на рис. 5. Благодаря более высокой электрической прочности подложка на основе SiC может быть сделана в 10 раз тоньше подложки Si, что многократно снижает сопротивление канала, до 1:1000. Более тонкие слои также уменьшают внутренние емкости. Таким образом, при той же частоте переключения SiC МОП-транзистор будет иметь от трети до четверти потерь переключения эквивалентного ему кремниевого транзистора. Другими словами, SiC МОП-транзистор может работать в 4 раза быстрее при том же уровне рассеивания мощности. SiC МОП-транзисторы также имеют гораздо более устойчивый внутренний диод, чем кремниевые. Максимальная скорость переключения di /dt внутреннего паразит-
ного диода МОП-транзисторов с суперпереходом составляет около 60 А/мкс, а для последнего поколения полевых МОПтранзисторов с быстрым переключением —
Биполярный транзистор с изолированным затвором, — хотя в технической литературе для него используется аббревиатура БТИЗ, более привычен как IGBT (Gate Bipolar Transistor), — сочетает характеристики МОПтранзистора и является мощным, способным выдержать высокую токовую нагрузку биполярным транзистором. По сути, это транзистор, управляемый напряжением, с эквивалентной схемой, приведенной на рис. 6. Одно из основных отличий IGBT от МОПтранзистора в том, что первый не имеет внутреннего паразитного диода, поэтому не будет проводить обратные токи (рис. 7) и таким образом защищать транзистор. Если требуется антипараллельный диод свободного хода, его необходимо добавить как внешний защитный элемент. Примечание. Позиция 2 — транзисторы выключены (заперты) при нормальном сме‑ щении. Хотя IGBT включаются достаточно быстро, но вот выключаются они значительно медленнее. Это связано с эффектом, называемым рекомбинационным хвостом. После отключения напряжения на затворе любой оставшийся заряд в области подложки транзистора должен быть рассеян путем рекомбинации дырок с электронами, поскольку иного вывода для их удаления нет. Этот процесс относительно медленный и задерживает характеристику выключения, как показано на рис. 8. Несмотря на такой недостаток, IGBT широко используются для приложений с вы-
Рис. 8. Сравнение характеристик включения и выключения IGBT. Рекомбинационный хвост в характеристике выключения снижает скорость переключения и увеличивает рассеиваемую мощность
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
схемотехника
Рис. 9. Пример приложения с использованием IGBT для отслеживания точки максимальной мощности фотоэлектрического преобразователя (MPPT) и в качестве DC/AC-инвертора
соким током/высоким напряжением — например, инверторы двигателей, мощные выпрямители и преобразователи для солнечных панелей. Вариант типового применения биполярных транзисторов с изолированным затвором приведен на рис. 9.
Полупроводниковые приборы на основе нитрида галлия (GaN) представляют собой транзисторы с высокой подвижностью электронов Полупроводниковые приборы на основе нитрида галлия (GaN) представляют собой транзисторы с высокой подвижностью электронов (High Electron Mobility Transistor, HEMT) — это относительно новый класс транзисторов с почти идеальными характеристиками переключения. Для создания канала в данных транзисторах используется контакт двух полупроводниковых материалов с различной шириной запрещенной зоны (вместо легированной области, как у обычных МОП-транзисторов). HEMT означает, что электроны перемещаются внутри кристаллической структуры в виде двумерного электронного газа с очень высокой подвижностью, таким образом создавая устройство с очень высокой проводимостью и низким R DS,on. Использование GaN увеличивает напряжение пробоя, то есть слои внутри транзистора могут быть очень тонкими и близко расположенными. Это в свою очередь повышает скорость переключения и снижает емкость затвора. Имеется еще два типа нитрид-галлиевых HEMT-транзисторов, работающих в режи-
ме обогащения (enhancement mode) и обозначаемых E‑HEMT (или еHEMT и т. п.) и в режиме обеднения D‑HEMT (или dHEMT и т. п.). В транзисторах D‑HEMT при нулевом напряжении затвор-исток канал транзистора открыт (проводит ток между стоком и истоком); для запирания канала нужно приложить к затвору напряжение определенной полярности (то есть обеднить, отсюда и depletion mode). Канал транзисторов E‑HEMT закрыт (не проводит ток) при нулевом напряжении затвор-исток, для открытия канала нужно приложить к затвору напряжение определенной полярности относительно истока (то есть обогатить, отсюда и enhancement mode). В силовой технике более популярны E‑HEMT, так как они работают, как и обычные транзисторы, — нормально заперты и открываются положительным напряжением. Поскольку зона истощения под затвором очень тонкая, для включения и выключения транзистора требуется очень небольшой инжектируемый заряд, поэтому без больших потерь переключения их можно применять на частотах переключения даже в диапазоне мегагерц. Работа GaN E‑HEMTтранзистора в режиме обогащения в выключенном и включенном состоянии показана на рис. 10. Чрезвычайная тонкость изоляционного слоя затвора означает, что высокое напряжение затвор-исток вызовет внутренний пробой, даже если сам материал имеет высокое номинальное напряжение пробоя. Транзистор GaN E‑HEMT имеет типичное напряжение VGS, равное 7 В, но если это напряжение превысит ±10 В, транзистор будет поврежден. Однако напряжение 7 В намного
а
проектирование
103
ниже, чем управляющее напряжение, которое обычно выдают в драйверы для включения/выключения IGBT- или GaN E‑HEMTтранзисторов. Кроме того, из-за чрезвычайно высокой допустимой скорости нарастания и спада импульса напряжения затвора (что связано с его низкой емкостью) любая чрезмерная индуктивность в цепи управления может вызвать скачки напряжения или переходные процессы (на инженерном сленге они называются звоном), которые могут превысить допустимые пределы напряжения на затворе. Следовательно, хорошим компромиссом между высокой эффективностью и областью безопасной работы для GaN E‑HEMT-транзистора будет управляющее напряжение затвора на уровне 6 В. В цепи управления затвором для IGBTили SiC МОП-транзисторов обычно генерируется отрицательное напряжение для их выключения. Такое решение, а о нем говорилось ранее, ускоряет удаление заряда из емкости затвора и, следовательно, сокращает время выключения. Поскольку GaN Е‑HEMT-транзисторы имеют крайне низкую емкость затвора, отрицательное напряжение для их выключения уже не требуется. Напряжение затвора, равное 0 В, буквально за наносекунды полностью и надежно отключит GaN Е‑HEMT-транзистор. Отрицательное напряжение от драйвера затвора может обеспечить защиту от непреднамеренного включения только в том случае, если схема имеет чрезмерную паразитную индуктивность, которая может привести к звону. Однако проблема в том, что, поскольку GaN E‑HEMT-транзисторы не имеют внутреннего паразитного диода, такого как в МОП-транзисторах, и являются устройствами с симметричной проводимостью, отрицательное напряжение затвора приведет к увеличению потерь обратной проводимости. И хотя однополярное напряжение в 6 В достаточно для включения, но для приложений с очень высокой частотой переключения для компенсации переходных процессов изза наличия паразитной индуктивности используется двуполярное напряжение управления +6/–1 В. На рис. 11 показаны типичные напряжения драйвера затвора, которые обычно применяются для управления GaN E‑HEMTтранзисторами. SiC МОП-транзисторы 1‑го поколения используют драйверы +20/–5 В,
б
Рис. 10. GaN E‑HEMT-транзистор: а) в выключенном состоянии; б) во включенном состоянии
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
104
проектирование
схемотехника
Рис. 11. Типичные напряжения питания драйверов затвора для управления IGBT-, SiC- и GaN E‑HEMT-транзисторами
а устройства 2‑го поколения — напряжение +15/–3 В. Особенности разработки драйвера затвора для управления GaN E‑HEMT-транзисторами Большинство микросхем сверхбыстрых драйверов затвора имеют функцию блокировки при пониженном напряжении (undervoltage lock-out, UVLO), которая отключает выход, если напряжение питания драйвера ниже определенного уровня. Драйверы, предназначенные для управления IGBT- и SiC МОП-транзисторами, как правило, имеют относительно высокий уровень UVLO, поскольку работают от напряжений питания до 24 В. Если перед вами стоит задача управления GaN E‑HEMT-транзисторами, то следует выбрать драйвер затвора, совместимый с гораздо более низкими напряжениями. Ток, необходимый для зарядки и разрядки емкости затвора, зависит от емкости затвора и скорости изменения напряжения (dv /dt). Хотя емкость затвора транзисторов GaN E‑HEMT очень мала, высокое значение dv /dt показывает, что потребуется драйвер затвора с возможностью управления с током не менее ±0,5 или 1 А для втекающего тока. Этот пиковый ток будет подаваться от керамического конденсатора, установленного как можно ближе к выводам драйвера, поэтому средний ток потребления драйвера по цепи питания будет намного ниже, как правило в диапазоне десятков миллиампер. Кроме того, чтобы уменьшить вероятность перекрестной проводимости, драйвер затвора как генератор втекающего тока должен иметь низкий импеданс, не превышающий 2 Ом. Следует ограничить максимальную и минимальную ширину импульса, чтобы избежать ложного срабатывания и взаимодействия со схемой защиты от перекрытия (overlap protection). При рабочей частоте 5 МГц минимальная ширина импульса ограничивает рабочий цикл до 90%. На более высоких частотах это ограничение гораздо значительнее, и, возможно, потребуется контролировать рабочий цикл так, чтобы он не превышал 80%. Сверхбыстродействующие схемы драйвера затвора чувствительны к нежелательному включению (так называемая перекрестная, или поперечная, проводимость),
возникающему из-за наличия паразитных индуктивностей драйвера затвора, взаимодействующих с током разряда большой емкости Миллера, формируя, таким образом, колебания, которые могут снова создать высокое открывающее напряжение на затвор. Для того чтобы уменьшить вероятность этого эффекта, скорость нарастания dv /dt может быть ограничена последовательным резистором. Последовательный резистор в цепи включения затвора в диапазоне 10–20 Ом обыч-
но дает скорость нарастания 40–80 кВ/мкс. Чтобы сократить потери при выключении, сопротивление должно быть меньше. Для независимого управления скоростью нарастания напряжения при включении и выключении может использоваться диод Шоттки с резистором для включения, параллельно резистору включения затвора. Такое решение показано на рис. 12. Драйверы затвора с высокой стороны часто реализуются со схемой источника питания с вольтодобавкой — бутстрепной схе-
Рис. 12. Управление крутизной фронтов управляющего импульса с помощью затворных резисторов
Рис. 13. Типичная цепь организации вольтодобавки для управления транзисторами верхнего плеча полумоста
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
схемотехника
мой (рис. 13). Хотя это и означает, что один и тот же изолированный источник питания может использоваться для драйверов как с высокой, так и с низкой стороны, данному решению присущи некоторые недостатки. На схеме, приведенной на рис. 13, кроме основных элементов показаны паразитные индуктивности. Для номинального напряжения в точке VDDH, равного 6 В, напряжение вольтодобавки, в зависимости от условий работы схемы, может варьироваться в пределах 5,5–8,5 В. Что касается выбора элементов цепи вольтодобавки, бутстрепный диод должен обладать очень коротким временем восстановления. Если он не может переключаться так же быстро, как GaN E‑HEMT-транзистор, обратный ток будет течь в источник питания VDD. Эти броски тока повлияют на срок службы диода, а возникшие в результате высокочастотные помехи на шине питания вызовут нарушение норм электромагнитной совместимости. Напряжение питания вольтодобавки драйвера затвора зависит от разницы между напряжением на шине VDD и емкостным выходным напряжением (коммутационным узлом). Это означает, что во время работы напряжение на бутстрепном конденсаторе может изменяться более чем на ±20%. На падение напряжения на бутстрепном диоде около 0,7 В, то есть для получения напряжения VDDH 6 В потребуется VDD 6,7 В. Напряжение коммутационного узла может не полностью соответствовать напряжению заземления во время прямой проводимости, а это означает, что эффективное напряжение питания драйвера затвора может быть лишь 5,5 В. Если напряжение питания драйвера затвора слишком низкое, транзистор GaN HEMT не будет полностью открыт и потери проводимости окажутся выше. Однако увеличивать напряжение питания VDD не рекомендуется, потому что в условиях обратной проводимости напряжение коммутационного узла может колебаться до 2,5 В ниже потенциала «земли», соответственно, эффективное напряжение начальной загрузки составляет:
+6,7–0,7+2,5 = 8,5 В. Это приближается к абсолютному максимально допустимому напряжению затвора 10 В. Кроме того, взаимодействие с током нагрузки и паразитными индуктивностями может привести к возникновению отрицательных выбросов в коммутационном узле из-за переключения с высоким di/dt. Более надежным решением представляется использование отдельного гальванически изолированного источника питания для драйвера затвора верхнего плеча. Это обеспечит стабильное импульсное напряжение затвора независимо от условий эксплуатации.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
проектирование
105
Рис. 14. Пример изолированного мощного ключа на основе GaN с высокой или низкой стороны полумостового или мостового преобразователя
Индуктивность драйвера затвора может быть минимизирована за счет грамотной конструкции, но гораздо труднее контролировать паразитные индуктивности силового заземления, поскольку варианты компоновки для путей с большим током ограничены в выборе. Несмотря на то что схема переключения нижнего плеча имеет общую «землю» с источником питания и «землю» драйвера затвора, любые паразитные индуктивности в условиях высокого di/dt будут создавать дребезг заземления. Поэтому для обеспечения области безопасной работы рекомендуется гальванически изолировать драйверы не только нижнего, но и верхнего плеча. Если драйверы затвора изолированы, влияние индуктивностей заземления питания схемы можно исключить, подсоединив заземление драйвера затвора непосредственно к точке подключения истока транзистора, или использовать соединение Кельвина, если в корпусе транзистора предусмотрен дополнительный контакт. Изолятор в цепи ШИМ-управления и гальванически развязанный DC/DC-преобразователь должны иметь низкую емкость изоляционного барьера. Высокие скор о с т и н а р а с т а н и я н а п р я же н и я d v / d t и высокие частоты переключения, возможные для устройств на основе нитрида галлия, будут вызывать нагрузку на изолирующий барьер, даже если абсолютные колебания напряжения находятся в пределах номинальных значений напряжения компонентов. Для приложений с высоким значением dv /dt изолирующая емкость должна быть не более 4 пФ для цепи ШИМ и не более 10 пФ для DC/DC-преобразователя на стороне высокого напряжения. Если DC/DCпреобразователь также используется на стороне низкого уровня для устранения дребезга заземления, для него изолирующая емкость
не столь критична, однако желательно, чтобы она не превышала 100 пФ. Практический совет. Изолированное ре‑ шение цепи питания драйвера для управления транзисторами GaN E‑HEMT может быть организовано так, как показано на рис. 14. Представленное на рис. 14 решение с плавающим заземлением для создания схемы драйвера затвора GaN E‑HEMT-транзистора можно использовать в качестве повышающего, понижающего преобразователя или в виде понижающе-повышающего преобразователя на стороне высокого или низкого уровня. Для изолирования схемы от общего провода («земли») предусмотрен изолированный DC/DC-преобразователь и цифровой изолятор. Выходной каскад одноканального цифрового изолятора получает напряжение от маломощного LDOстабилизатора, подключенного к источнику питания затвора 6 В. Высокоскоростной драйвер UCC27322 может обеспечивать пиковый ток до ±9 А, а вход триггера Шмитта переключается с выхода 5 В цифрового изолятора. Для того чтобы предотвратить возникновение сквозных токов, задержку для формирования бестоковой паузы (Dead-time Circuit) можно реализовать с помощью простой интегрирующей RC-цепи. У боковой (горизонтальной) конструкции GaN-транзисторов есть свое преимущество: она позволяет интегрировать драйвер затвора непосредственно внутри корпуса транзистора. Это снижает паразитные индуктивности затвора и дает возможность использовать более высокие частоты переключения или более высокие скорости нарастания напряжения без риска ложного срабатывания. Тем не менее здесь все же требуется изолированный источник питания драйвера затвора и изолятор управляющего сигнала. www.kite.ru
проектирование
106
схемотехника
Таблица. Сравнение характеристик силовых транзисторов Тип транзистора Максимальное рабочее напряжение, В Максимальный ток, А Управляющее напряжение затвора, В Скорость переключения Цена
Si МОП
SiC
IGBT
GaN
до 1000 до 200 3–10 Высокая Низкая
выше 5000 до 1000 –3/+15 Очень высокая Средняя
выше 5000 до 1200 –9/+15 Невысокая Низкая
до 1000 до 50 –1/+6 Крайне высокая Высокая
ческих паспортах емкость Миллера определяется только при неких идеальных условиях, поэтому настоятельно рекомендуется измерять ее значение в реальных условиях эксплуатации. Для примера на графике, приведенном на рис. 16, показано влияние напряжения коллектор-эмиттер VCE на обратную емкость. Дополнительная емкостная нагрузка Creverse не вызовет трудностей в большинстве схем драйверов. Она становится проблемой только тогда, когда входная емкость Cinput (емкость затвор-эмиттер) достаточно заряжена оставшимся током, протекающим от Creverse. Этот заряд может привести к росту напряжения на затворе, способного вызвать нежелательное повторное включение транзистора. Зарядный ток Cinput можно определить следующим соотношением:
ICinput = ICreverse–Idriver . (4) Рис. 15. Драйвер затвора IGBT с паразитными компонентами и встречно-параллельным диодом
Рекомендации по компоновке силовых транзисторов на печатной плате Независимо от типа используемого силового транзистора (таблица), при переключении высоких напряжений и больших токов требуется тщательная разводка печатной платы. На рис. 15 представлен драйвер затвора с паразитными компонентами и встречнопараллельным диодом на основе IGBT-транзистора, но основные принципы одинаковы для Si и SiC МОП-транзисторов, а также GaN E‑HEMT-транзисторов. Схема драйвера должна быть спроектирована таким образом, чтобы предотвратить нежелательное включение при любых условиях эксплуатации. Иначе это приведет к сквозным токам, которые могут проявляться в повышенных потерях и сокращении срока
службы, ухудшении ЭМС, а в крайних случаях — привести к разрушению транзистора. По сути, у нас есть два типа нежелательного включения: • нежелательное включение из-за влияния емкости CGE (Creverse); • нежелательное включение из-за воздействия паразитных индуктивностей (Lgate и Lemitter). Незапланированное включение из-за влияния емкости Миллера Когда напряжение коллектор-эмиттер возрастает либо при выключении IGBT нижнего плеча, либо в мостовой схеме, IGBT верхнего плеча включается и ток течет через встречнопараллельный диод, емкость Миллера (Creverse) должна быть заряжена. Зарядный ток емкости Миллера можно рассчитать следующим образом:
ICreverse = Creverse (dVCE /dt). (3) Емкость Миллера указана в большинстве описаний транзисторов, но это лишь некое приблизительное значение. Величина Creverse в большой степени зависит от напряжения, а также от температуры и тока. Часто в техни-
Рис. 16. Влияние напряжения коллектор-эмиттер VCE на емкость Миллера Creverse в IGBT
Приведенное здесь значение Idriver зависит от внутреннего импеданса драйвера затвора, сопротивления затвора постоянного тока и импеданса переменного тока L gate. Зарядный ток остаточной входной емкости пояснен на рис. 17. Итак, какие меры можно предпринять, чтобы избежать нежелательного включения из-за обратного емкостного тока? • Ограничьте dv/dt. За счет уменьшения скорости изменения напряжения VCE уменьшается ток C reverse. Однако это означает более высокие коммутационные потери. • Уменьшите паразитную индуктивность Lgate. Путем подходящего выбора компоновки и корпуса ток C reverse может быть отведен от заряда емкости затвор-эмиттер Cinput. Однако это ограничивает свободу выбора компоновки печатной платы. • Используйте отрицательное напряжение затвор-эмиттер для выключения. Если выход драйвера становится отрицательным, транзистор жестко заперт, а безопасная разность между пороговым напряжением включения и фактическим напряжением затвора увеличивается. Таким образом, нежелательное включение невозможно даже в худшем случае dv/dt. • Используйте GaN HEMT с наименьшей обратной емкостью.
Рис. 17. Зарядный ток остаточной входной емкости
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
схемотехника
проектирование
107
Рис. 18. Нижняя часть плеча мостовой схемы, показывающая паразитные индуктивности силового заземления
Незапланированное включение из-за влияния паразитных индуктивностей (Lgate и Lemitter) Во включенном состоянии ток течет через транзистор и индуктивность эмиттера. Если ток резко выключается, то на этой паразитной индуктивности в соответствии с формулой (5) генерируется отрицательное напряжение (ЭДС самоиндукции):
–V = Lemitter (dI/dt). (5) Даже короткая трехсантиметровая дорожка на печатной плате может иметь индуктивность в несколько микрогенри. Переходное отверстие будет иметь индуктивность в десятки микрогенри. Кажется, что это немного, но при очень высоких скоростях нарастания тока результирующее напряжение может составлять порядка нескольких вольт. Таким образом, напряжение на эмиттере оказывается значительно ниже, чем опорное напряжение Powergnd. Если опорное заземление выхода драйвера затвора имеет тот же потенциал Powergnd, это приводит к положительному напряжению затвор-эмиттер, и, если это напряжение превышает пороговое напряжение, транзистор на мгновение снова включается. В мостовых схемах эффективную индуктивность нагрузки на стороне эмиттера могут увеличивать индуктивности других ответвлений моста и схемы печатной платы. Нижняя часть плеча мостовой схемы, показывающая паразитные индуктивности силового заземления, представлена на рис. 18. В мостовых схемах неизолированные схемы драйвера затвора часто могут иметь значительные разности потенциалов между различными точками подключения Powergnd и заземлением драйвера затвора, что приводит к существенному влиянию на потенциально нежелательные эффекты включения из-за паразитных индуктивностей. Чтобы уменьшить разность потенциалов «земли», необходимо подключить заземление системы к точке Powergnd2, а также использовать соединение типа «звезда» с соединениями заземления драйвера. Кроме того, индуктивность Llayout должна быть максимально одинаковой с обеих сторон моста. Часто разводка не позволяет добиться абсолютной симметрии. Если система Powergnd подключена к точке Powergnd 1 вместо Powergnd2, тогда правая ветвь будет показывать повышенное напряжение затвор-эмиттер, равное:
Практический совет. Как проверить, безопасна ли конструкция драйвера затвора и будет ли напряжение на затворе ниже порогового напряжения затвора-эмиттера во время переключения? На практике это сделать не так просто. Недостаточно взять и прикрепить пробник осциллографа и контролировать напряжение затвора, поскольку прямой доступ к затвору и эмиттеру в реальных конструкциях часто затруднен, а на показания будет влиять емкость пробника. Таким образом, измеренные значения не обязательно отражают реальность. Как говорят немцы, “Wer Mist misst, misst Mist” («Кто неправильно измеряет, тот измеряет неправильно»). Лучший выход из этой ситуации — измерить индуктивности Lemitter, Lgate и в некоторых случаях даже Llayout и не полениться сделать необходимые вычисления. Один из способов узнать, есть ли в схеме моста мгновенные нежелательные эффекты включения, — измерить ток в каждом его плече. Опять же, нужно быть осторожным, чтобы, измеряя ток, не изменить поведение переключения IGBT. Таким образом, на пути затвор-эмиттер не должно быть дополнительных сопротивлений или индуктивностей. Один из достаточно точных методов — использование токового шунта цепи коллектора на высокой стороне и изолированного осциллографа (рис. 19).
–V = (Lemitter +Llayout2)(dI/dT). (6) Такой же дисбаланс имеет место и для левой ветви, если система Powergnd подключена ближе к точке Powergnd2.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
Рис. 19. Измерительная установка для проверки протекания тока через мост
www.kite.ru
108
проектирование
схемотехника
Рис. 20. Изолированные драйверы низкой стороны мостовой схемы
Рис. 21. Изолированные драйверы низкой стороны мостовой схемы с транзисторами, имеющими контакт Кельвина
Даже если это измерение не выявит каких-либо нежелательных пиков тока, все равно нельзя быть уверенным в том, что конструкция на 100% безопасна при любых условиях эксплуатации. Для полной уверенности придется выбрать транзисторы с минимальным пороговым напряжением, указанным в таблице данных, и протестировать при максимально допустимой температуре и максимальных уровнях di /dt и dv /dt. Итак, что можно сделать, чтобы минимизировать нежелательные эффекты от паразитных индуктивностей: • Уменьшите di/dt. Более низкие скорости затухания тока приводят к более низкой ЭДС самоиндукции, индуцированной в паразитных индуктивностях, и, следовательно, более низкому напряжению между затвором и эмиттером. Однако это увеличивает коммутационные потери. • Уменьшите индуктивность линий подключения. Чем меньше индуктивность (длина дорожки или кабеля), тем меньше генерируется паразитное напряжение. • Используйте отрицательное напряжение затвор-эмиттер. При использовании отрицательного напряжения затвор-эмиттер вместо подачи нуля вольт (подтяжка к GND) запас по напряжению затвор-эмиттер до порогового напряжения затвор-эмиттер увеличивается.
• Гальванически отделите драйвер затвора от заземления. За счет использования изолированных драйверов затвора для каждого транзистора влияние индуктивностей эмиттера может быть устранено, поскольку точка заземления питания драйвера будет подключена непосредственно к соответствующим эмиттерам транзистора. Теперь, когда паразитная индуктивность L emmiter не являются частью токовой петли драйвера, ее влияние устранено (рис. 20). • Используйте контакты Кельвина. Некоторые производители транзисторов сейчас предлагают корпуса силовых транзисторов, в которых для эмиттера предусмотрено отдельное соединение — контакты Кельвина. Хотя этот контакт и имеет свою небольшую паразитную индуктивность, но через нее не проходит ток нагрузки, поэтому здесь не генерируется индуцированное напряжение (рис. 21). Это решение устраняет влияние паразитных индуктивностей Lemitter и Llayout.
Заключение Есть много способов предотвратить нежелательное включение силового транзистора, однако при этом существует немало опасностей, и учесть все не всегда удается. Самый безопасный способ предотвра-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
схемотехника
109
Рис. 22. Оценочная плата R‑REF01‑HB компании Recom
Литература 1. Roberts S., M.Sc. B.Sc. AC/DC Book of Knowledge Practical tips for the User. RECOM Enginnering GmbH&Co.KG. Austria, 2018. www.recom-power.com/ ru/support/resource-library/book-of-knowledge/book-of-knowledge.html?1 2. Робертс С. Перевод, дополнения и комментарии: Рентюк В. Переменный ток — начало начал // Компоненты и технологии. 2021. № 11. 3. Робертс С. Перевод, дополнения и комментарии: Рентюк В. Линейные AC/DC-источники питания, полная, реактивная и активная мощность и немного теории // Силовая электроника. 2021. № 5. 4. Робертс С. Перевод, дополнения и комментарии: Рентюк В. Пассивные компоненты AC/DC-источников питания // Компо-ненты и технологии. 2021. № 12. 5. R‑REF01‑HBSeries. www.recom-power.com/ru/products/design-tools/ reference-designs/rec-s‑R‑REF01‑HB.html?0 6. Бхалла А. Перевод, дополнения и комментарии: Рентюк В. Вы за SiC или кремний? Части 1–6 // Силовая электроника. 2020. № 1–6.
Реклама
Реклама
тить нежелательное включение — для драйвера затвора применить изолированный источник питания с двуполярным напряжением и поддерживать как можно более низкие паразитные индуктивности в силовых цепях. В идеале следует использовать транзисторы в корпусах с контактом Кельвина. Идя навстречу пожеланиям разработчиков силовых приложений, компания Recom создала оценочный комплект R‑REF01‑HB [5] (внешний вид поставки комплекта показан на рис. 22), в котором использована универсальная схема и микросхема драйвера. Это позволяет экспериментировать и сравнивать различные технологии коммутации IGBT-, SiC- и GaN- транзисторов. Плата может использоваться как для трехвыводных транзисторов, так и для транзисторов с контактом Кельвина. Для того чтобы проверить решение, необходимо выбрать и в соответствии с используемыми транзисторами установить подходящие по уровням напряжения DC/DC-преобразователи, которые входят в комплект. Транзисторы подбираются самостоятельно и в комплекте поставки не предусмотрены. Плата представляет собой полумост, предназначенный для напряжений уровнем до 1 кВ, и полностью изолированный каскад драйвера с изолированными источниками питания для транзисторов на стороне низкого и высокого напряжения без ограничений по максимальному рабочему циклу. Комплект R‑REF01‑HB представляет собой базовый блок, позволяющий оценить различные топологии преобразователей — прямоходовую, обратноходовую, повышающую и понижающую. Кроме того, соединяя два и более блоков, можно оценить работу мостовых и 3‑фазных схем. В комплекте поставки R‑REF01‑HB предусмотрены модули DC/DC-преобразователей R12P22005D, R12P21503D, R12P21509D и R12P06S, с помощью которых можно генерировать следующие напряжения управления затвором: +20/–5, +15/–3 или +18 В (примечание в [5]); а также +15/–9 и +6 В. Полная схема электрическая принципиальная комплекта и перечень элементов приведены в [5]. Основные технические характеристики оценочного комплекта R‑REF01‑HB: • напряжение полумоста: до 1 кВ; • ТТЛ-совместимый вход сигнала; • однополярное питание: 15–42 В; • защита от сквозного тока; • отдельный вход для транзисторов высокой и низкой стороны для использования решений с различными топологиями; • проверен на скорости нарастания напряжения 65 кВ/мкс при напряжении на шине 1 кВ. Сигнальная «земля» гальванически изолирована от «земли» питания и может быть подключена к любому потенциалу, если он составляет менее 2,5 кВ по отношению к потенциалу со стороны высокого и низкого уровня. Ограничивающим элементом является специфиn кация ИС драйвера затвора.
проектирование
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
блоки питания
110
АКИП‑1160 — новая бюджетная серия компактных импульсных источников питания мощностью до 300 Вт
Алексей Шиганов
Н
овые источники питания (рис. 1) имеют один канал для регулировки Uвых/Iвых, а также дополнительный (нерегулируемый) выход 5 В/1 А для питания USB-устройств. В серии шесть моделей — АКИП‑1160/1, АКИП‑1160/2, АКИП‑1160/3, АКИП‑1160/4, АКИП‑1160/5, АКИП‑1160/6, предназначенных для формирования выходного постоянного напряжения и тока с широкими пределами регулировки при использовании в лабораторных и промышленных условиях с целью тестирования питаемых устройств (ПУ). Новинки имеют заданную область выходной мощности (Pвых), и в отличие от источника с прямоугольной ВАХ такая форма имеет гибкие рабочие зоны дополнительных номиналов выходного напряжения и тока (рис. 2). Надежность и простота аппаратной реализации в сочетании с функциональностью ВАХ с фиксированной Pвых обеспечи-
Рис. 1. Внешний вид АКИП‑1160
Представлен краткий обзор новой серии одноканальных импульсных источников питания постоянного тока (ИП) под торговой маркой АКИП с диапазоном выходной мощности 150–300 Вт, интерфейсом USB для ДУ и программирования, а также поддержкой многодиапазонной вольтамперной характеристики (ВАХ).
вают гибкость в выдаче на выходе большего уровня напряжения (30–60 В) или тока нагрузки (5–10 А) при номинальной мощности. Источники питания выполнены в виде компактного моноблока со съемным сетевым шнуром питания. Ширина корпуса всего 8 см, высота источника 14 см. На передней панели расположены регуляторы выходного напряжения и тока, относительно большой цветной ЖКИ (отображение численных значений тока, напряжения и мощности), символы и индикаторы режима стабилизации — тока и напряжения (CC/CV), кнопка включения, гнезда выходного напряжения и заземления. На задней панели находятся клавиша включения питания, разъем для подключения сетевого шнура питания, держатель предохранителя и интерфейс USB для ДУ. Источники питания имеют схему защиты нагрузки от перенапряжения (OVP) и от перегрузки по току (OCP). Эти функции обеспечивают контроль выходной мощности и тем самым предотвращают превышение номинальной нагрузки для защиты ПУ.
Рис. 2. ВАХ источника АКИП‑1160/6 (максимальное напряжение до 60 В, максимальный ток до 10 А)
Новинки оснащены функцией гибкого управления выходом при включении питания источника. Пользователю, кроме ручного управления, доступна возможность выбора состояния выхода источника при включении сетевого питания. По умолчанию при включении питания выход источника постоянно выключен (OFF). Статус выхода источника может быть изменен на значение «AUTO‑5сек», при котором обеспечивается автоматическое отложенное включение функционального выхода через 5 с после подачи внешнего электропитания ИП. Особенность конструкции — компактный корпус и малый вес (1,5 кг), что не создает проблем при размещении источников на рабочем столе. На боковых панелях корпуса предусмотрены входные каналы поступления внешнего воздуха, интеллектуальный вентилятор на задней панели обеспечивает циркуляцию потока вдоль силового блока на основной плате для оптимального теплообмена в системе охлаждения. Ресурсы штатного ПО (Easy Control, рис. 3) позволяют увеличить число точек выходного профиля до 100 шагов при редактировании, а также использовать цифровой регистратор выходных параметров для последующего экспорта данных в формате CSV-отсчетов (скорость выборки 2 изм./с). Возможности и функциональность новых источников питания: • высокое разрешение: 10 мВ/1 мА (установка и измерения); • формирование выходного профиля: 10 программируемых точек (U/I/T); • переключаемый формат отображения: «численные значения» или «график»; • внутренняя память настроек источника: ячейки М1–М4 (запись/вызов);
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
блоки питания
Рис. 3. Интерфейс ПО Easy Control
щью только одного регулятора с функцией ввода (энкодер). • Источники оснащены защитой от перенапряжения, защитой от перегрузки по току, что делает их надежными и эффективными в измерительных приложениях. • Внутренняя память обеспечивает воспроизведение выходного профиля по перечню шагов (List): таблица на 10 точек с возможностью их редактирования (напряжение, ток, длительность шага).
• Установка выходных параметров ГРУБО/ ТОЧНО (перемещаемый курсор в любом разряде). • Автоматическое отложенное включение выхода при включении питания (5 с). Первые образцы новых источников питания АКИП‑1160 уже поступили на склад, новинки доступны для заказа. Планируется проведение сертификационных испытаний для включения в Госреестр СИ РФ (утверждение типа СИ).
Реклама
• защита от перенапряжения, перегрузки по току, перегрева (OVP, OCP); • блокировка органов управления для исключения случайного изменения настроек; • одновременная индикация трех параметров (U/I/P) и режимов; • возможность программирования и ДУ (команды SCPI), штатное ПО Easy Control; • цветной ЖКИ, компактные размеры, высокий КПД; • интерфейс USB. Преимущества и особенности серии: • ВАХ с фиксированной рабочей областью позволяет иметь достаточно мощный, но при этом компактный и, что важно — недорогой источник питания. • В серии АКИП‑1160 источники оснащены эффективной системой охлаждения со встроенным вентилятором, нет оснований волноваться о работоспособности системы отвода внутреннего тепла. • Функция записи и воспроизведения профилей настройки позволяет быстро адаптировать источник для конкретной задачи тестирования или к участку на производственной линии. • Управлять источниками питания просто и удобно. Регулировки осуществляются с помощью органов управления передней панели (семь клавиш прямых функций и «крутилка»). Все операции установки значений выходного тока и напряжения, переключение разрядов индикатора, перемещение по меню выполняются с помо-
111
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 1 '2022
www.kite.ru
Реклама
Реклама
12+ Компоненты и технологии
C o m p o n e n t s www.kit-e.ru
&
Te c h n o l o g i e s
№ 1 ’2022 (январь)
ISSN 2079-6811
№ 1 ’2022
Датчики
Высоковольтные соединители GES High Voltage
январь Components & Technologies Реклама
Обзор семейства Xilinx Artix UltraScale+
Активные компоненты AC/DC-источников питания