kit_122021

Page 1

12+ Компоненты и технологии

C o m p o n e n t s www.kit-e.ru

&

Te c h n o l o g i e s

№ 12 ’2021 (декабрь)

ISSN 2079-6811

№ 12 ’2021

ПЛИС, ПАИС

декабрь

Пассивные компоненты AC/DC-источников питания

Components & Technologies

Радиационно стойкие DC/DC

Реклама

Реклама

для систем с постоянным напряжением

Расчет и оптимизация RC-демпфера для симистора


Реклама


Реклама


12 (245) '2021

12 (245) '2021

Главный редактор Правосудов Павел Викторович | pavel@fsmedia.ru Заместитель главного редактора Ольга Дорожкина (Зайцева) | olga_z@fsmedia.ru Выпускающий редактор Ксения Притчина | ksenia.pritchina@fsmedia.ru Редактор Наталья Новикова | Natalia.Novikova@fsmedia.ru Редакционная коллегия Александр Фрунзе, Иосиф Каршенбойм, Виктор Лиференко, д. т. н., профессор Владимир Махов, д. т. н. Дизайн и верстка Ольга Ворченко | olga@fsmedia.ru Отдел рекламы Ирина Миленина | irina@fsmedia.ru

Содержание Есть мнение

Компоненты

Денис Авсюкевич, Владимир Стешенко, Павел Шевченко Повышение эффективности мероприятий по применению ЭКБ за рамками технических условий

Стив Робертс (Steve Roberts) Перевод и комментарии: Владимир Рентюк Пассивные компоненты AC/DC-источников питания

29

Фионн ХЁрли (Fionn Hurley) Перевод: Евгений Ивашенко Почему 10BASE-T является недостающим каналом Ethernet для автомобильной связи

38

Микросхемы быстродействующих приемопередатчиков интерфейса LVDS 5560ИН7У и 5560ИН8У

40

Уилфрид Платзер (Wilfried Platzer) Перевод: Михаил Русских Гальваническая изоляция для АЦП последовательного приближения

42

Виктор Безродный Радиационно стойкие модули DC/DC-преобразователей напряжения для систем электроснабжения с постоянным напряжением

48

Владимир Громов Что поможет ускоренному проектированию и серийному производству источников вторичного электропитания на отечественной элементной базе

6

10

Отдел подписки podpiska@fsmedia.ru

Москва ул. Южнопортовая, д. 7, строение Д, этаж 2 Тел./факс: (495) 987-3720 СанктПетербург 197046, Санкт-Петербург, Петроградская наб., д. 34 литер Б, помещение 1-Н, офис 321в Тел. (812) 467-45-85 email: compitech@fsmedia.ru, web: www.kit-e.ru

Республика Беларусь «ПремьерЭлектрик» Минск, ул. Маяковского, 115, 7й этаж Тел./факс: (10*37517) 2973350, 2973362

ПЛИС, ПАИС Дмитрий Дайнеко Проект динамической смены конфигурации ПЛИС семейства MAX10. Часть 1 Валерий Соловьев Методики проектирования встроенных процессоров на FPGA

12

20

Отдел распространения СанктПетербург: fps@fsmedia.ru

Подписные индексы Агентство «Урал-Пресс» «Почта России»

80743 П4209

Журнал «Компоненты и технологии» зарегистрирован Управлением Федеральной службы по надзору в сфере связи, информационных технологий и массовых коммуникаций по Санкт-Петербургу и Ленинградской области. Свидетельство о регистрации ПИ №ТУ 78-00653 от 23 июля 2010 года. Учредитель ООО «Издательство Файнстрит» Адрес редакции 197046, Санкт-Петербург, Петроградская наб., д. 34 литер Б, помещение 1-Н, офис 321в Издатель ООО «Медиа КиТ» 197046, Санкт-Петербург, Петроградская наб., д. 34 литер Б, помещение 1-Н, офис 321в Отпечатано в типографии «Премиум Пресс» 197374, Санкт-Петербург, ул. Оптиков, 4. Дата выхода в свет 24.12.21 Тираж 6000 экз. Свободная цена Редакция не несет ответственности за информацию, приведенную в рекламных материалах. Полное или частичное воспроизведение материалов допускается с разрешения ООО «Медиа КиТ». Журнал включен в Российский индекс научного цитирования (РИНЦ). На сайте Научной электронной библиотеки eLIBRARY.RU (www.elibrary.ru) доступны полные тексты статей. Статьи из номеров журнала текущего года предоставляются на платной основе. Возрастное ограничение 12+

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


Реклама


12 (245) '2021

12 (245) '2021

Editorinchief Pavel Pravosudov | pavel@fsmedia.ru Deputy of editorinchief Olga Dorozhkina (Zaytseva) | olga_z@fsmedia.ru Managing editor Ksenia Pritchina | ksenia.pritchina@fsmedia.ru Editor Natalia Novikova | Natalia.Novikova@fsmedia.ru Editorial staff Alexander Frunze Victor Liferenko Joseph Karshenbojm Vladimir Mahov Design and layout Olga Vorchenko | olga@fsmedia.ru Advertising department Irina Milenina | irina@fsmedia.ru Subscription department podpiska@fsmedia.ru

Moscow 7, building D, floor 2, Yuzhnoportovy str., Moscow, Russia Tel. +7 (495) 987-3720

Содержание Проектирование

Технологии

Валентин Володин Расчет и оптимизация RC-демпфера для симистора

Алексей Шиганов Электронная нагрузка переменного и постоянного тока АКИП‑1376 с имитацией емкостного и индуктивного характера нагрузки

94

Николай Лемешко, Михаил Горелкин, Павел Струнин Измерения параметров импульсов интегральным методом с применением осциллографов серии R&S RTO6

97

59

Владимир Макаренко ADI Precision Studio от компании Analog Devices

66

Татьяна Колесникова Проектирование схем микроэлектронных устройств с использованием клавиатуры в Proteus

76

Наталья Солошенко Как снизить уровень помехоэмиссии устройства на 80 дБ: пример из практики сервиса «скорая ЭМС-помощь» от компании Würth Elektronik

Рубрикатор

90

Перечень статей, опубликованных в журнале «Компоненты и технологии» в 2021 году

108

St. Petersburg of. 321v., pom 1-H, b. 34 “B”, Petrogradskaya Emb., St. Petersburg, 197046, Russia Tel. (812) 4381538 Fax (812) 3460665 email: compitech@fsmedia.ru web: www.kit-e.ru

Belarus Republic Minsk, Premier Electric Tel./fax: (10*37517) 2973350, 2973362 Circulation department St. Petersburg: Victor Zolotarev | victor.zolotarev@fsmedia.ru Subscription index for Components & Technologies Rospetchat Agency catalogue subscription index 80743 Age limit 12+

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


Реклама


есть мнение

6

Повышение эффективности мероприятий по применению ЭКБ за рамками технических условий

Денис Авсюкевич, к. т. н. Владимир Стешенко, к. т. н. Павел Шевченко

К

Все чаще разработчики радиоэлектронной аппаратуры сталкиваются с необходимостью применения изделий электронной компонентной базы вне технических условий. В данной статье рассмотрен перечень нормативнотехнической документации, регламентирующей использование электронной компонентной базы в радиоэлектронной аппаратуре, а также проведен анализ практики использования изделий электронной компонентной базы вне технических условий. По результатам выработаны предложения по парированию вопросов взаимодействия с производителями и заказчиками электронной компонентной базы, а также совершенствованию разрешительных процедур для применения изделий электронной компонентной базы, не оговоренных в технических условиях.

осмические комплексы (далее — КК) и системы нового поколения, как правило, включают совокупность функционально взаимосвязанных орбитальных и наземных технических средств, обеспечивающих как самостоятельное решение задач на основе использования космического пространства, так и в составе космической системы (далее — КС). Они могут иметь в своем составе космические аппараты (далее — КА), средства подготовки, выведения на орбиту, управления КА, а также земной сегмент (центры управления запуском КА, связью, шлюзовые приемопередающие комплексы и т. д.). Основными составляющими, которые наиболее полно определяют необходимость упорядочивания и развития нормативно-технической базы для создания эффективных организационных механизмов применения электронной компонентной базы (далее — ЭКБ) в радиоэлектронной аппаратуре (далее — РЭА) КК, можно выделить: • ракеты-носители (далее — РН) и разгонные блоки (далее — РБ), выполняющие роль средства выведения орбитального груза (спутника) на заданную траекторию или орбиту; • КА, выполняющие более широкий спектр задач, определяемых целевым назначением КС (автоматические, пилотируемые, одиночные, многоцелевые, посещаемые и т. д.). Процесс применения ЭКБ в РЭА разрабатываемых КС предусматривает комплекс организационных, методологических и технических мероприятий по выбору, закупке, транспортировке, складированию и хранению ЭКБ, а также оценке и подтверждению технических характеристик, проведению различных видов испытаний, которые должны выполняться по нормативно-технической документации, действующей в отрасли. Следует заметить, что часть такой документации была разработана 15–20 лет назад и может использоваться при проведении отдельных мероприятий, а не всего процесса выбора и применения ЭКБ в РЭА космической техники (далее — КТ) в целом. С учетом этого в отрасли и на предприятиях космической промышленности развернута и проводится работа по совершенствованию системы стандартизации КТ как эффективного механизма обеспечения согласованного взаимодействия участников работ по разработке, утверждению, примене-

нию, учету, отмене и обновлению документов нормативно-технического регулирования создания КТ. Одним из результатов подобной работы можно назвать разработку и выпуск таких документов, как ГОСТ Р 58857-2020 «Ракетнокосмическая техника. Электронная компонентная база. Общие положения» и ГОСТ Р 59312-2021 «Ракетно-космическая техника. Электронная компонентная база. Порядок выбора, применения и проведения испытаний». Действие стандартов распространяется на изделия ЭКБ, применяемые в бортовой аппаратуре (далее — БА) КТ, предназначенной для выполнения заданных функций в условиях космического пространства и на Земле. В них впервые дано определение ЭКБ как самостоятельного объекта стандартизации, ее категории и показатели качества, состав и классификация изделий, указаны требования к изделиям ЭКБ, разработанной для применения в КТ. В стандартах устанавливается общий порядок и состав работ, выполняемых в процессе выбора, применения, закупки, испытаний и оценки правильности (эффективности) применения ЭКБ при создании РЭА КТ, перечень требований к ЭКБ, которые должны быть отражены в техническом задании на разработку РЭА КТ, основные критерии выбора ЭКБ для применения в КТ, состав, форма и порядок заполнения документов обоснования выбора и применения ЭКБ, порядок подтверждения соответствия ЭКБ требованиям, предъявляемым к РЭА КТ, и другие вопросы. На основе данных национальных стандартов, а также вновь разрабатываемых нормативных документов, регламентирующих общие технические требования, методы обеспечения и оценки соответствия требованиям, создаются отраслевые нормативно-технические документы (стандарты организаций), определяющие требования к надежности, методам испытаний, контролю качества, порядку закупки, поставки, транспортирования, складирования, а также к научно-техническому сопровождению опытно-конструкторских работ. Реализуемые конструкторские решения определяются текущим уровнем развития науки и промышленности [1]. Условия эксплуатации РН, РБ и КА, включая непосредственно целевую миссию, накладывают на входящую в ее РЭА ограничения на минимально

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


есть мнение

7

Рис. 1. Формы разрешительных процедур, обеспечивающих применимость изделий ЭКБ в составе БА КТ в условиях, отличающихся от ТУ

необходимый срок функционирования. Внешнее воздействие среды в процессе эксплуатации БА КТ может вызывать ограничение или потерю работоспособности комплектующих изделий или составных частей. К аппаратуре, предназначенной для работы на возвращаемых и спускаемых на Землю и другие планеты КА, кроме основных требований могут предъявляться дополнительные требования по механическим и другим специальным внешним факторам [2], что вызывает необходимость использования соответствующей номенклатуры изделий ЭКБ вне условий, определенных техническими условиями (далее — ТУ). Данная особенность предполагает существенные риски при реализации космического проекта [3]. Поэтому следует предусматривать возможности их сокращения путем принятия управленческих решений, а именно — формирование единого разрешительного алгоритма, структурирующего перечень включаемых в работу предприятий, область делегируемых полномочий, закрепленных за организациями, типовые формы разрешительной документации, порядок подтверждения гарантированной работоспособности изделий ЭКБ в РЭА КТ и т. д. При применении изделий ЭКБ в РЭА КТ организации, занятые в процессе ее разработки, производства и модернизации, обязаны выбирать изделия ЭКБ из действующих редакций межотраслевого перечня. При этом сведения об организациях, выдающих разрешение на применение ЭКБ в режимах и условиях, отличных или не оговоренных в ТУ, приводятся в каждой части межотраслевого перечня. В то же время значительная часть изделий ЭКБ (из числа включенных в указанный перечень) не в полном объеме отвечает требованиям, предъявляемым к ЭКБ, применяемой в РЭА КТ с длительным сроком активного существования. На сегодня нормативными документами Российской Федерации предусмотрено два подхода, которые позволяют разработчикам РЭА КТ использовать требуемую номенклатуру изделий ЭКБ в условиях, отличных от ТУ. Существующие разрешительные процедуры, обеспечивающие применимость изделий ЭКБ в условиях, отличных от ТУ, представлены на рис. 1. Применение изделий ЭКБ в режимах и условиях, отличных или не оговоренных в ТУ, допускается при получении разрешения на применение от организации, выдающей разрешение по закрепленной номенклатуре изделий, а при ее отсутствии — в порядке, установленном ГОСТ 2.124-2014 «Единая система конструкторской документации. Порядок применения покупных изделий» [4], с предварительным согласованием с предприятием-изготовителем (разработчиком) ЭКБ. В соответствии с положениями данного стандарта предприятия, разрабатывающие РЭА, обязаны оформлять и согласовывать протокол разрешения на применение (далее — ПРП) для каждого планируемого к применению изделия ЭКБ. Требование по оформлению ПРП является обязательным в случае наличия несоответствия уровня предъявляемых требований к надежности ЭКБ, условиям и режимам их применения в составе РЭА уровню аналогичных требований, установленных в ТУ. Учитывая, что ГОСТ 2.124-2014 полностью не регламентирует порядок оформления таких разрешений, распространения ранее выдан-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

ных разрешений на применение изделий в аппаратуре в аналогичных режимах и условиях, а также внесение коррекции в ТУ по результатам оформления ПРП, процесс оформления разрешений затягивается на долгие месяцы. Подход, определенный ГОСТ 2.124–2014, в целом обеспечивает применение изделий ЭКБ вне ТУ, но обладает двумя основными негативными факторами, которые практически сводят на нет саму процедуру оформления ПРП как одну из основных мер обеспечения своевременного комплектования РЭА КТ изделиями ЭКБ. Во‑первых, к проекту ПРП должен быть приложен большой объем нормативно не определенных сопутствующих документов: техническое обоснование и данные о результатах проверок (испытаний) изделия ЭКБ ОП, проведенных на стенде или в составе изделия, в режимах и условиях, по которым проводится получение разрешения. В результате срок оформления ПРП может занимать от шести и более месяцев, а следовательно, сроки согласования и утверждения ПРП, установленные ГОСТ 2.124-2014 (не более трех недель), в конечном итоге не соблюдаются. Во‑вторых, существенным недостатком оформления ПРП является то, что протокол оформляется отдельно на конкретный типономинал (типоразмер и т. д.) изделия, установленного в РЭА РКТ, вне зависимости от однородности типономиналов ЭКБ. Однако требования по параметрам ТУ, которые являются предметом рассмотрения ПРП, распространяются на все типономиналы данного типа изделия, если иное не оговорено отдельно. Протокол в данном случае должен оформляться на конкретный тип изделия. Также ПРП может оформляться на одно и то же изделие ЭКБ по нескольку раз в случае необходимости применения его в нескольких функциональных блоках БА и/или нескольких аппаратах. Неоднократное оформление ПРП на одно и то же изделие является важным сигналом, что необходимо проведение переработки и оптимизации действующих разрешительных процедур [5]. Например, для разработчиков РЭА должна существовать возможность выбора между процедурами применения ЭКБ в условиях, отличных от ТУ, в зависимости от объема их использования (рис. 2). Альтернативный вариант проведения работ, направленных на обеспечение применения изделий ЭКБ в режимах и условиях, отличающихся или не оговоренных в ТУ, определен в государственном стандарте, введенном в 1989 году, который регламентирует порядок проведения работ по обеспечению длительной работоспособности изделий в РЭА. В данном стандарте применение в КТ номенклатуры изделий ЭКБ, включая показатели надежности, режимы работы и условия эксплуатации, а также дополнительные требования к контролю качества и правила приемки, устанавливаются специальным групповым дополнением к ТУ (далее — СГД к ТУ) на изделия ЭКБ. Преимуществом данного подхода является возможность распространения его действия на другую однородную РЭА с аналогичными требованиями к показателям надежности, режимам работы и условиям эксплуатации при наличии разрешительной документации от организаций поставщика, потребителя и заказчика. Кроме того, алгоритм регламентирует контроль за выполнением дополнительwww.kite.ru


8

есть мнение

Рис. 2. Действующие процедуры выдачи разрешительной документации на применение изделий ЭКБ и требуемый алгоритм, позволяющий многократно использовать группу изделий в составе однородной РЭА

ных требований по качеству и надежности, предъявляемых к выпуску требуемых изделий ЭКБ. Однако практическое использование указанного документа в структуре современных экономических и производственных отношений практически невозможно, поскольку структура и принципы построения экономической системы Российской Федерации после 1992 года коренным образом изменились, изменены названия, задачи и функций органов исполнительной власти (структур), перечисленных в приведенном межотраслевом стандарте. Кроме того, стандарт не учитывает сложившуюся сегодня в Российской Федерации систему взаимоотношений между разработчиками (изготовителями) КТ, разработчиками (изготовителями) изделий ЭКБ, а также их государственными заказчиками. С целью совершенствования действующего порядка нормативнотехнического регулирования применения изделий ЭКБ в условиях, не предусмотренных ТУ, проанализирован и систематизирован массив данных в области задания требований к ЭКБ для примене-

ния в технике различного целевого и функционального назначения, с учетом отраслевых проблемных вопросов, связанных непосредственно с ее созданием и эксплуатацией (рис. 3). Под установлениями стандартов подразумевается обобщение области действующих норм и подходов, регулирующих существующий порядок применения ЭКБ. Результаты проведенного анализа представлены в таблице. При сравнении достигнутых результатов с установленными в стандартах был определен наиболее правильный и экономичный вариант решения задачи по разрешительным процедурам применимости ЭКБ, достигнутый оптимальным упорядочиванием в отраслевом применении (рис. 4): симплификацией с целью упорядочивания имеющихся разрешительных подходов и оптимизацией путем формирования оптимальной последовательности. Преимущество используемого подхода заключается в уменьшении числа разновидностей изделий ЭКБ до числа, достаточного для удовлетворения существующих потребностей, — в данном случае определение необходимых и достаточных этапов разрешительных процедур. Применимость данного метода обосновывается большим многообразием имеющейся номенклатуры комплектующих изделий ЭКБ [6]. По итогам проведенного анализа сформирован и структурирован порядок проведения работ, предусматривающих применение изделий Таблица. Результаты анализа Основные проблемы

Предлагаемые пути решения

Нежелание организаций — изготовителей электронных Необходимо уточнить сроки согласования технических изделий участвовать в согласовании ПРП и установить ответственность за нарушение в связи с нежеланием нести ответственность сроков выполнения работ по согласованию ПРП

Рис. 3. Алгоритм проведенных работ

Необходимость формирования ПРП на каждую РЭА, что сказывается на увеличении общей стоимости работ по изготовлению РЭА в связи с необоснованными требованиями о проведении испытаний

Необходимо создать единую отраслевую базу данных по уже разработанным ПРП и разработать механизм распространения действия ПРП на аппаратуру с аналогичной моделью ВВФ

Длительные сроки согласования ПРП

Необходимо установить директивные сроки выполнения работ, дополняющих и уточняющих действующие нормативно-правовые документы и отраслевые стандарты

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


есть мнение

9

Рис. 4. Порядок формирования разрешительных процедур по применимости изделий ЭКБ вне допустимых условий ТУ

ЭКБ в условиях, отличных от ТУ. Основным достоинством является определение порядка работ, обеспечивающих распространение ранее выданных ПРП (при работе в составе однородной БА КТ и функционировании в аналогичных режимах и условиях). На основании данных разрешительных документов в перспективе также могут быть проведены дополнительные изменения ТУ. Таким образом, разработчик РЭА для космического применения при формировании перечня изделий ЭКБ, планируемых к применению, имеет следующие вариации последовательностей проводимых работ: • однократно оформить ПРП на единичный типономинал ЭКБ; • запросить возможность распространения действия ранее выданного ПРП; • оформить СГД на группу изделий ЭКБ. Полученные результаты могут служить дополнениями положений действующего ГОСТ 2.124-2014 в части распространения ранее оформленных ПРП на однородную РЭА, а также регламентировать процедуру применения СГД, основываясь на современной системе взаимоотношений между разработчиками БА КТ, изготовителями ЭКБ, а также их государственными заказчиками. Обеспечение надежности разрабатываемых КА, систем и комплексов по результатам возможно за счет применения изделий ЭКБ повышенных категорий качества и исключения применения устаревших неперспективных изделий, предлагаемых к снятию с производства, что, как правило, отражено в техническом задании на разрабатываемую РЭА. При этом потенциал ЭКБ ОП, разрабатываемых

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

в ходе выполнения ОКР, позволяет использовать ее в условиях, отличающихся от ТУ. Порядок работы, предлагаемый в статье, позволяет в перспективе сократить процедуру согласования применения изделий ЭКБ в перспективных образцах РЭА, существенно уменьшить сроки их разработки благодаря отсутствию необходимости в многократном оформлении ПРП на однотипную аппаратуру, а также гарантировать надежность их приn менения в расширенных условиях.

3.

4.

Литература 1. Смирнов Д., Трощенков С., Пшеничников И. От карьеры до ракеты. Рынок запусков и развитие космических транспортных систем // Русский космос. 2021. № 30. 2. Белоус А. И., Солодуха В. А. Микроэлектронная элементная база космических аппаратов: состояние, проблемы и тенденции развития. Доклад

5.

6.

на VI Всероссийской научно-технической конференции на тему «Импортозамещение. Вопросы обеспечения предприятий промышленности электронной компонентной базой», 17–18 августа. 2018 г. Латышенко Г. И., Сычева Е. М., Анищенко Ю. А. Оценка и мониторинг рисков космических проектов // Фундаментальные исследования. 2015. № 7. Дормидошина Д. А. Особенности порядка применения ЭКБ РКТ в условиях, отличных от указанных в документах на поставку // Практика автоматизации процессов взаимодействия предприятий радиоэлектронной промышленности. 2019. Ковалевский Ю. Как упростить процедуры согласования при создании и применении ЭКБ для заявителей // ЭЛЕКТРОНИКА: Наука. Технология. Бизнес. 2019. № 6. Колчков В. И. Метрология, стандартизация и сертификация. М.: Форум, 2017.

www.kite.ru


10

есть мнение

Что поможет ускоренному проектированию и серийному производству источников вторичного электропитания на отечественной элементной базе

Владимир Громов vladimir.gromov.50@yandex.ru

Компания «ГРУППА КРЕМНИЙ ЭЛ» является одним из российских лидеров в разработке и производстве компонентной базы силовой электроники — интегральных схем и полупроводниковых приборов для вторичного электропитания. В рамках государственной программы Российской Федерации «Развитие электронной и радиоэлектронной промышленности» предприятие выполняет крупный комплексный проект по разработке серии современных микросхем управления электропитанием микропроцессоров, микроконтроллеров и других электропотребителей с динамической нагрузкой большой мощности и организации их крупносерийного выпуска в малогабаритных корпусах, в том числе в безвыводных корпусах типа QFN. В статье приводится описание функциональных групп разрабатываемых интегральных схем и полупроводниковых приборов, их корпусное исполнение, зарубежные аналоги, а также цели, задачи и отличительные особенности проекта.

Введение Цель комплексного проекта — сокращение сроков разработки, серийного освоения и производства высокоэффективных малогабаритных источников вторичного электропитания (ИВЭП) гражданского и специального назначения, выполненных на основе отечественной электронной компонентной базы (ЭКБ), и, соответственно, обеспечение импортонезависимости важнейших отраслей российской промышленности. Реализация конечных целей комплексного проекта будет достигнута путем создания конструктивно-технологических решений, разработки базовых процессов и технологий изготовления, проектирования топологий и конструкций семейства приоритетных и востребованных микросхем и полупроводниковых приборов в металлополимерных корпусах для ИВЭП. Главная задача проекта — освоение к концу 2027 года серийного производства разработанной ЭКБ и продажа ее в объемах не ниже 1,986 млрд рублей. Для выполнения этой цели предусмотрен целый комплекс мер: • повышение функциональной эффективности разрабатываемой ЭКБ за счет внедрения самых современных и перспективных технических и функциональных решений; • широкое применение малогабаритных корпусов для поверхностного монтажа, включая безвыводные малогабаритные конструкции типа QFN, бескорпусного исполнения; • переход на технологические маршруты с уменьшенными проектными и топологическими нормами;

• одновременно с разработкой ЭКБ выпуск большого объема программно-отладочных и информационных материалов (демонстрационные отладочные платы, поведенческие модели, справочные материалы по применению); • дооснащение оборудованием кристального и сборочного производства предприятия; • снижение цен на ЭКБ в металлополимерных корпусах.

Импортозамещение в проекте Сегодня микросхемы и полупроводниковые приборы силовой электроники в малогабаритных металлополимерных корпусах серийно выпускаются многими зарубежными компаниями и в наибольшей мере такими фирмами, как Texas Instruments, ST Microelectronics, Microsemi, Infineon Technologies, Linear Technology, Maxim Integrated, NXP Semiconductors, Northrop Grumman Space Technology, Avago Technologies. Приведенные в качестве примера в литературе [1–6] технические и технологические решения ведущих мировых производителей силовой электроники позволили им значительно повысить степень интеграции и функциональность выпускаемой ЭКБ и обеспечить возможность миниатюризации аппаратуры, собранной на их базе. На текущий момент микросхемы и полупроводниковые приборы в малогабаритных и других корпусах, используемые в аппаратуре российских радиоэлектронных предприятий, на 80% изготовлены зарубежными предприятиями. Очевидно, что данное положение дел нежелательно, проблема актуальна и требует оперативного решения.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


есть мнение

Конструктивно-технические особенности В рамках комплексного проекта будут разработаны микросхемы под самые современные и востребованные на рынке технические решения, реализующие высокоэффективные топологии преобразования энергии в импульсных стабилизаторах напряжения с синхронным выпрямлением, с активным демпфированием, в инверторах напряжения, в корректорах коэффициента мощности (ККМ) и других приборах. Дополнительно будет освоен ряд новых для отечественного рынка линейных стабилизаторов, в том числе с малым падением напряжения, серия микросхем источников опорного напряжения, микросхемы драйверов затворов и микросхемы супервизоров шин питания. Эти классы микросхем всегда оставались и будут оставаться востребованными при разработке источников электропитания любой аппаратуры. Технологические разработки проекта будут способствовать уменьшению топологических норм, что в свою очередь позволит сократить размеры кристаллов и сделает возможным последующий монтаж в малогабаритные корпуса для поверхностного монтажа, а также обеспечит создание новых изделий большой функциональной сложности с минимальными габаритными размерами.

Снижение себестоимости и цены Безвыводные QFN-корпуса являются современным продуктом. Корпусирование может осуществляться либо по классической технологии корпусирования выводных корпусов, либо по технологии матричной сборки. Технология матричной сборки обеспечивает большую гибкость по номенклатуре, снижение цены и себестоимости продукции, а также крупносерийность. Однако она требует технологического дооснащения и разработки компромиссного подхода для

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

обеспечения рентабельности в российских реалиях. Другой причиной снижения цены на ЭКБ в металлополимерных корпусах в нашем случае является очень большая номенклатура микросхем, но при этом в малом количестве корпусных исполнений. Перечень разрабатываемой продукции включает большую группу микросхем контроллеров коэффициента мощности (ККМ), микросхем импульсных стабилизаторов, супервизоров питания, драйверов, МОПтранзисторов и ДШ: • серию микросхем ККМ для светодиодного освещения (зарубежные аналоги UCC28810, 28811 фирмы TI); • серию микросхем ККМ с режимом непрерывной проводимости (зарубежные аналоги UCC 2817, 2818, 2855, 2855 А, 2855B фирмы TI, L4981A, 4981B фирмы ST); • серию микросхем повышающих ККМ, работающих в режиме граничных токов (зарубежные аналоги UCC28050, 28051 фирмы TI); • серию микросхем ККМ переходного режима (TM) (зарубежные аналоги L6561, 6562 фирмы ST); • серию микросхем импульсных стабилизаторов напряжения с внутренними выходными силовыми ключами (зарубежные аналоги TPS 56X200, 56X209 фирмы TI, MP2181 фирмы MonolithicPower); • серию микросхем ШИМ-контроллеров с активным демпфированием (отечественный аналог К1290 ЕУх, зарубежный аналог UCC289х фирмы TI); • серию микросхем драйверов затворов МОП- и IGBT-транзисторов высоковольтного полумоста (зарубежный аналог IR2113, фирмы Infineon); • серию микросхем двухканальных драйверов затворов МОП-транзисторов (зарубежные аналоги IR442X фирмы Infineon, UCC 27324 фирмы TI); • серию микросхем линейных стабилизаторов напряжения (зарубежные аналоги LP 298X, LP 2992 фирмы TI); • серию микросхем супервизоров питания с широким функционалом (зарубежные аналоги TPS 382x, TPS 380x фирмы TI, DS18xx фирмы Maxim); • серию микросхем источников опорного напряжения регулируемых трехвыводных (зарубежные аналоги TLVH431, TLVH432 фирмы TI, TL431 фирмы UTC); • микросхему источника опорного напряжения фиксированного двухвыводного (зарубежный аналог LM4040 фирмы TI); • микросхему импульсного инвертора напряжения с накачкой заряда (зарубежный аналог TPS6040х фирмы TI); • серию n‑канальных МОП-транзисторов типа SiBDP7884; • серию быстродействующих диодов и диодов Шоттки; • серию p‑канальных МОП-транзисторов.

Заключение Все разрабатываемые изделия обеспечиваются имитационными поведенческими моделями в качестве программных элементов для последующего автоматизированного проектирования радиоэлектронных устройств, в том числе как источник нормативно-справочной информации для процесса тестирования элементов [7]. К каждому изделию прилагается настроечная демонстрационная отладочная плата, реализующая один или несколько базовых вариантов использования микросхемы. Это позволяет получить более полную информацию о компоненте, его функциональных и электрических параметрах, а также о возможностях и областях применения. Еще одна особенность проекта — корпусное исполнение большинства разрабатываемых приборов — малогабаритное безвыводное корпусирование по типу QFN наряду с конструктивными исполнениями SO и SOT. Таким образом, можно обеспечить полное соответствие аналогам по функционированию, электрическим, тепловым параметрам и даже по стоимостным характеристикам. n

Литература 1. Lu D., Wong C. P. Materials for advanced packading. Springer International Publishing Switzerland, 2009–2017. 2. Ziyue Z., Zhengxin H., Jiabin L. SOT‑23 packaging structure. Patent CN, No. CN203644753 (U), 2014. 3. Guoqing W., Zhengxin H. SOT‑23 (Small Outline Transistor‑23) surface mount device packaging structure. Patent CN, No. CN106711133 (A), 2017. 4. Recommendations for Board Assembly of Infineon Discrete Quad-Flat No-Lead Packages, Infineon Technologies AG. Munich, Germany, 2018. 5. Oh Seunghoon. QFN manufacturing method of QFN semiconductor package. Patent KR, No. KR20190094983 (A), 2019. 6. Felice V., Antoine P., Claudio T. Quad Flat No-lead pacage. Patent US, US2019131197 (A1), 2019. 7. Дракин A. Ю., Школин А. Н., Пугачев А. A. Использование имитационных моделей при контроле параметров интегральных микросхем // Инженерный вестник Дона. 2019. № 3.

Реклама

Реализация проекта позволит существенно сократить долю применяемых импортных комплектующих, обеспечить более полное соответствие по типам корпусов и цоколевки замещаемым импортным аналогам, что приведет к сохранению (неизменности) серийных схемотехнических решений аппаратуры отечественных разработчиков и изготовителей электронной техники. Наиболее значимой характеристикой проекта является то, что он позволит значительно расширить номенклатурный ряд изделий, полностью соответствующих современным мировым импортным аналогам в части функциональных характеристик и конструктивного исполнения и, как следствие, обеспечит существенное повышение импортонезависимости в отечественной электронной технике.

11

www.kite.ru


ПЛИС

12

Проект динамической смены конфигурации ПЛИС семейства MAX10. Часть 1

Дмитрий Дайнеко dyne@micran.ru

Особенности ПЛИС семейства MAX10 Кратко расскажем о ПЛИС семейства MAX10 (рис. 1) и его преимуществах. Ранние семейства MAX имели архитектуру CPLD, в то же время последняя серия MAX10 является по архитектуре ядра полноценным FPGA, причем несколько разновидностей ПЛИС MAX10 сохраняют некоторые преимущества CPLD, такие как single-supply (требуется один номинал питания для работы всей ПЛИС) и встроенная конфигурационная flash-память. Данный симбиоз выгодно выделяется на фоне другого семейства бюджетных ПЛИС — Cyclone, которые требуют три номинала напряжения питания (ядро, периферия, ФАПЧ) и наличия внешней конфигурационной памяти. Помимо прочего, ПЛИС данного семейства имеет на борту блоки АЦП, внутренний

Рис. 1. ПЛИС семейства MAX10

В статье рассмотрена реализация загрузчика и основной конфигурации на микросхеме ПЛИС семейства MAX10 корпорации Intel. ПЛИС семейства MAX10 имеет возможность организовать две абсолютно независимые конфигурационные схемы. Таким образом, одну можно использовать в качестве загрузчика, а вторую — как основную рабочую конфигурацию. Статья адресована и новичкам, деятельность и интересы которых связаны с ПЛИС. В первой части данной работы представлены особенности ПЛИС семейства MAX10, внутренняя система переключения между конфигурациями, а также приведен RTL-код модуля верхнего уровня проекта на языке описания аппаратуры SystemVerilog [1].

тактовый генератор и термочувствительный диод в качестве датчика температуры, но целью проекта является прежде всего реализация две абсолютно независимые конфигурации на одном кристалле. Не все варианты исполнения ПЛИС семейства MAX10 имеют возможность организации двух независимых конфигурационных схем, поэтому рассмотрим структуру наименования ПЛИС данного семейства (рис. 2): • Наименование семейства ПЛИС: 10M — MAX10. • Код продукта, обозначающий количество логических элементов (округленное): – 02 — 2000; – 04 — 4000; – 08 — 8000; – 16 — 16000; – 25 — 25000; – 40 — 40000; – 50 — 50000.

• Тип питания микросхемы: – S (Single Supply) — один номинал напряжения питания; – D (Dual Supply) — два номинала напряжения питания. В варианте исполнения S на ПЛИС располагается встроенный линейный регулятор напряжения (LDO), формирующий из входного питания требуемые напряжения ядра и периферии. Соответственно, в варианте исполнения D встроенный стабилизатор отсутствует. Следует учитывать, что вариант S упрощает процесс разработки печатной платы, уменьшает количество обвязки микросхемы, но одновременно имеет и определенные недостатки, в частности уменьшение максимальной частоты работы ядра и периферии, а также ухудшаются характеристики встроенных аналоговых блоков ПЛИС.

Рис. 2. Структура наименования ПЛИС семейства MAX10

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


ПЛИС

Рис. 3. Отладочная плата MAX10 10M08 Eval Kit

• Дополнительные функции (опции): – Compact — базовое исполнение; – Flash — возможность реализации двух конфигурационных схем с самообновлением и инициализация встроенной RAM при подаче питания; – Analog — все то же, что и в варианте Flash, плюс встроенный блок АЦП. Примечание. Таким образом, необходимо выбирать ПЛИС исполнения либо Flash, либо Analog. • Тип корпуса и количество выводов микросхемы. Типы корпусов в данном семействе ПЛИС — E (EQFP), M (MBGA), U (UBGA), F (FBGA). Останавливаться на каждом варианте корпуса не будем, поскольку всю подробную информацию можно получить в сети. • Диапазон рабочих температур, как правило, подразделяется на: – C (Commercial) — TJ = 0…+85 °C; – I (Industrial) — TJ = –40…+100 °C; – A (Automotive) — TJ = –40…+125 °C. Примечание. Обычно вариантом исполне‑ ния Industrial имеет максимальный предел температуры в +85°C, но для семейства ПЛИС MAX10 допустима максимальная температура +100°C. • Параметр быстродействия ПЛИС — чем меньше параметр, тем больше максимальная частота проекта, которая может быть достигнута. Осталось выбрать «железо», на котором автор будет реализовывать задуманный проект. Для этих целей подойдет бюджетная отладочная плата MAX10 10M08 Eval Kit Board (рис. 3) от Axelsys. На данной отладочной плате помимо самой ПЛИС 10M08SAE144C8 располагаются тактовый генератор 50 МГц, разъем IDC‑10M для подключения JTAG-кабеля, пять пользовательских светодиодов, шесть переключа-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

телей (один из них подключен к специализированному пину ПЛИС, но об этом ниже) и разъем mini-USB (к сожалению, только для подачи питания на отладочную плату). Поскольку драйвера Virtual COM на плате не предусмотрено, в качестве интерфейса взаимодействия будем использовать внешнюю плату расширения, которая во множестве представлена на рынке электронных компонентов.

Внутренняя система переключения между двумя конфигурациями Напомним, что инициализация ПЛИС происходит следующим образом: конфигурационный файл (configuration data) считывается из конфигурационной памяти (configuration flash memory, CFM) в конфигурационную энергозависимую память (CRAM). Для ПЛИС серий Cyclone конфи-

13

гурационной памятью является внешняя микросхема (да, читатель может заявить, что прошивку можно записать через JTAG или микроконтроллер, но далее станет понятно, почему автор пишет именно про вариант с памятью). Однако напомним, что в ПЛИС серии MAX10 память типа CFM располагается внутри самой микросхемы, поэтому следует рассмотреть ее структуру (рис. 4). Как видим на рис. 4, в области flash-памяти ПЛИС семейства MAX10, помимо CFM, есть и пользовательская flash-память (user flash memory, UFM), состоящая из двух секторов. Также конфигурационная область памяти содержит три сектора: CFM0, CFM1, CFM2. Причем в зависимости от режима конфигурации (internal configuration mode) память может быть организована по-разному, например: • Вся CFM-область памяти может быть выделена под одну конфигурационную схему с возможностью инициализации данных внутренней ОЗУ (single uncompressed/compressed image with memory initialization). • Только два сектора могут быть выделены под конфигурационную схему, а один сектор — как дополнительная пользовательская flash-память (single uncompressed image). • Только один сектор может быть выделен под конфигурационную схему, а два оставшихся — под дополнительную пользовательскую flash-память (single compressed image). • Сектор CFM0 выделен под первую конфигурационную схему, а секторы CFM1 и CFM2 — под вторую (dual compressed image). Таким образом, для наших целей подходит только режим dual compressed image. Читатель может вполне справедливо задать вопрос: почему под одну схему выделен только один сектор памяти, а под вторую целых два, это значит, что конфигурационные данные (RTL-проект) различного размера можно в них содержать? Ответ на данный

Рис. 4. Схема организации flash-памяти в ПЛИС семейства MAX10

www.kite.ru


ПЛИС

14

Рис. 5. Таблица расположения областей CFM и UFM

Таблица 1. Интерфейс схемы Remote System Upgrade Circuitry Наименование сигнала

Наименование порта

Вход/ Выход

RU_DIN

regin

Вход

Вход сдвигового регистра Shift Register. При SHIFTnLD = 1 данные задвигаются в регистр по возрастающему фронту RU_CLK

RU_DOUT

regout

Выход

Выход сдвигового регистра Shift Register. SHIFTnLD = 1 данные выдвигаются из регистра по возрастающему фронту RU_CLK

RU_nRSTIMER

rsttimer

Вход

Сброс сторожевого таймера по спадающему фронту. Импульс должен быть не менее 250 нс

RU_nCONFIG

rconfig

Вход

Разрешение конфигурации. Активный уровень — низкий

RU_CLK

clk

Вход

Тактовый сигнал (клок)

RU_SHIFTnLD

shiftnld

Вход

RU_CAPTnUPDT

captnupdt

Вход

Описание

Управляющие сигналы (по возрастающему фронту RU_CLK): - при RU_SHIFTnLD = 1 работает сдвиговый регистр от старшего разряда к младшему; - при RU_SHIFTnLD = 0 и RU_CAPTnUPDT = 0 данные из сдвигового регистра загружаются во входной регистр Input Register; - при RU_SHIFTnLD = 0 и RU_CAPTnUPDT = 1 данные с мультиплексора Mux загружаются в сдвиговый регистр

вопрос будет ясен после изучения таблицы, приведенной на рис. 5. Для выбранной нами ПЛИС 10M08SAE144 размер сектора CFM0 для конфигурационной схемы Image 1 составляет 143 360 байт, а размеры секторов CFM1 и CFM2 — 59 392 и 83 968 байт соответственно. Легко заметить, что в сумме размеры секторов CFM1 и CFM2 равны размеру сектора CFM0, поэтому размеры обеих конфигурационных схем, записанных в ПЛИС, могут иметь одинаковый размер. Теперь рассмотрим, что происходит после подачи питания на MAX10, которая сконфигурирована в режиме dual compressed image (рис. 6). В зависимости от настроек проекта имеется два варианта начала процесса инициализации ПЛИС. Если установлен режим Configure device from CFM0 only (настройка режимов MAX10 производится в меню Convert programming files после компиляции RTL-проекта, об этом будет рассказано в дальнейшем), после подачи питания на ПЛИС автомат загрузки обязательно начнет пытаться инициализировать конфигурацию из сектора CFM0 (Image 0 на рис. 6). Если же режим Configure device from CFM0 only не установлен, автомат загрузки будет проверять состояние специализированного пина CONFIG_SEL. Если состояние CONFIG_ SEL = 0, то начнется загрузка Image 0, если же CONFIG_SEL = 1, то начнется загрузка Image 1. Таким образом, если наш будущий загрузчик расположен в Image 1, а основная конфигурация — в Image 0, можно аппаратно выбирать, как будет инициализироваться ПЛИС после подачи питания. Напомним, что в отладочной плате автора (рис. 3) пин CONFIG_SEL

Рис. 6. Последовательность автомата загрузки конфигурационной схемы

выведен на переключатель, что позволит проверить данный режим работы. Дальше автомат загрузчика действует по следующей схеме. Если в процессе первичной загрузки, например Image 0, произошел какой-либо сбой (First Error Occurs), будет производиться попытка загрузки с Image 1, и наоборот. В случае же если произошла ошибка (Second Error Occurs) в процессе загрузки соседней Image, автомат за-

грузки перейдет в состояние тайм-аута (Wait for Reconfiguration), после чего начнется попытка заново загрузить нужную конфигурацию (Reconfiguration). Для динамического переключения с одной конфигурационной схемы на другую пользователю предоставлен интерфейс доступа к части автомата загрузки, функциональная схема которого представлена на рис. 7 — Remote System Upgrade Circuitry.

Рис. 7. Функциональная схема Remote System Upgrade Circuitry

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


ПЛИС

15

Рис. 8. Временные диаграммы чтения номера текущей конфигурации

Разряд регистра

Таблица 2. Регистр статуса (Status Register)

33:30

29 28:0

Наименование

Описание

msm_cs

Текущее состояние: 0010 — загружена конфигурация Image0 0011 — загружена конфигурация Image1 после неудачной попытки загрузки Image0 0100 — загружена конфигурация Image1 0101 — загружена конфигурация Image0 после неудачной попытки загрузки Image1

ru_wd_en

Флаг сторожевого таймера

wd_timeout_value Текущее значение сторожевого таймера

Разряд регистра

Таблица 3. Входной регистр (Input Register)

Наименование

38:14

13

ru_config_sel

12 11:0

Описание Зарезервировано 0 — загрузка конфигурации Image0 1 — загрузка конфигурации Image1

ru_config_sel_overwrite Разрешение смены конфигурации –

Рис. 9. Временные диаграммы при загрузке новой конфигурации

Зарезервировано

Данная схема позволяет считать текущее состояние конфигурации (Status Register → Current State Logic), переключиться на другую конфигурацию (Control Register), а в случае ошибки — вернуться на исходную конфигурацию. Средством управления является сдвиговый регистр (Shift Register) и несколько управляющих сигналов. Интерфейс управления схемой представлен в таблице 1. Необходимо с помощью модуля, изображенного на рис. 7, прочитать номер текущей конфигурации и, если потребуется, переключиться на нужную конфигурацию. Номер текущей конфигурации хранится в регистре статуса Status Register → Current State Logic (табл. 2), а для того чтобы переключиться на другую конфигурацию, необходимо воспользоваться регистром управления Control Register, который связан с входным регистром Input Register (табл. 3). Таким образом, чтобы прочитать номер текущей конфигурации, необходимо сформировать последовательность сигналов, изображенную на рис. 8. Сначала необходимо

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

задвинуть в два старших разряда (40:39) сдвигового регистра такое положение мультиплексора, чтобы на его выходе транслировалось значение Current State регистра Status Register, затем определенной комбинацией RU_SHIFTnLD и RU_CAPTnUPDT захватить значение в Shift Register[38:0] и далее выгрузить данный регистр с выхода RU_DOUT. Первыми двумя битами (фронты RU_CLK — 1 и 2, при RU_SHIFTnLD = 1) на выходе мультиплексора устанавливается значение Current State. Фронтом № 3 (при RU_SHIFTnLD = 0 и RU_CAPTnUPDT = 1) значение Current State загружается в сдвиговый регистр Shift Register[38:0]. Далее, при RU_SHIFTnLD = 1 следующие 39 тактов RU_CLK (спады № 4–42), загруженные в сдвиговый регистр данные будут выдаваться с выхода RU_DOUT. Для того чтобы переключиться на другую конфигурационную схему (Image0 или Image1), необходимо сформировать последовательность сигналов, показанную на рис. 9. Первые 41 бит (при RU_SHIFTnLD = 1 и RU_CAPTnUPDT = 0) загружаем данные в сдвиговый регистр, с установленным значением ru_config_sel_overwrite и номером выбранной конфигурации (ru_config_sel =

= 0 → Image0, ru_config_sel = 1 → Image1). Далее, 41‑м фронтом RU_CLK (при RU_ SHIFTnLD = 0 и RU_CAPTnUPDT = 0) загружаем данные сдвигового регистра в Input Register и на 43‑м фронте RU_CLK завершаем процесс.

Структурная схема проекта переключения между конфигурациями и его код на SystemVerilog Теперь можно перейти к разработке RTLкода проекта. Для начала необходимо сформировать структурную схему проекта, на которой будут обозначены основные модули и их связь между собой (рис. 10). Как видно на рис. 10, топовым модулем проекта является Top.sv, в который включены следующие модули: Reset.sv; Receiver.sv; Transmitter.sv; Memory.sv; MainControl.sv; ImageControl.sv; fiftyfivenm_rublock. Для наших целей у ПЛИС должны быть сконфигурированы следующие порты: • inclk — тактовый вход генератора 50 МГц; • rxd_uart — вход, принимаемые последовательные посылки от драйвера виртуального СОМ-порта; www.kite.ru


16

ПЛИС

Рис. 10. Структурная схема проекта с модулями верхнего уровня

• txd_uart — выход, ответные последовательные посылки на драйвер виртуального порта; • led [4:0] — выход на пять светодиодов, для визуального контроля. Разберем назначение каждого из модулей, изображенных на рис. 10. Единственная функция модуля Reset.sv — при подаче питания на ПЛИС в течение первых 10 тактов inclk выдать на все остальные модули проекта строб сброса всех регистров в исходное состояние. Тактовые сигналы и строб сброса подключены практически ко всем модулям RTL-проекта, поэтому автор на структурных схемах отображать их не стал, дабы не усложнять рисунок. Модуль Receiver.sv выполняет функции приема UART-пакета, выделяет команду, длину пакета и подсчитывает контрольную сумму. Складывает принятые данные во внутреннюю ОЗУ, описанную в модуле Memory.sv. Модуль Transmitter.sv выполняет функции организации UART-пакета на отправку. Читает данные из внутренней ОЗУ (Memory.sv) и подсчитывает контрольную сумму. Модуль Memory.sv содержит два двухпортовых модуля ОЗУ для хранения принимаемых и отправляемых данных. Модуль MainControl.sv выполняет функцию «арбитра» проекта. Определяет, какие действия следует выполнять в зависимости от принятой команды. Модуль ImageControl.sv выполняет две операции: чтение текущей конфигурации ПЛИС и установка команды переключения

на требуемую конфигурацию. Другими словами, в зависимости от принятой команды осуществляет действия, изображенные на рис. 8 и 9. Модуль fiftyfivenm_rublock — внутренний аппаратный модуль, схематически изображенный на рис. 7. Данный модуль не требуется отдельно описывать в виде RTL-кода, достаточно лишь правильно подключить его к проекту согласно документации на ПЛИС [1]. При подаче питания на ПЛИС никаких действий не происходит, и система ждет приемной посылки с ПК. Как только модуль Receiver.sv достоверно принимает посылку, то записывает принятые данные в память модуля Memory.sv и подает команду на исполнение модулю MainControl.sv. Модуль MainControl.sv определяет, какая конкретно команда пришла (на данный момент их две — номер текущей конфигурации и смена конфигурации), и заставляет модуль ImageControl.sv выполнить соответствующие действия, по окончании которых модуль MainControl.sv дает сигнал модулю Transmitter.sv на отправку ответных данных (если требуется) на ПК. Теперь можно перейти к более детальному рассмотрению каждого из перечисленных модулей. Модуль Top.sv Начнем разработку RTL-кода с модулем самого верхнего уровня. Приведем шапку модуля, содержащую описание портов ввода/вывода ПЛИС:

`include “inc_define.vh” module Top #( parameter int CLOCK = 50_000_00, parameter int BAUD = 115_200, parameter PARITY = “NO”, parameter FIRST_BIT = “LSB”, parameter NUMBER = 256, parameter RX_TIMEOUT = 10, parameter TX_PAUSE = 0 ) ( input inclk, input rxd_uart, output txd_uart, output [4:0] led );

В первой строчке описано подключение файла inc_define.vh с описанием параметров и функций, используемых во многих модулях проекта, поэтому все сведения оформлены в один файл, который будет подключаться в каждом модуле. Содержание данного файла автор приведет после рассмотрения модуля Top.sv. Назначение портов ввода/вывода рассмотрено на рис. 10. В комментариях напротив наименования портов указаны номера пинов ПЛИС и контакты разъема на отладочной плате. Также в шапке модуля приведены основные параметры проекта: • CLOCK — частота тактового генератора в Гц = 50 МГц; • BAUD — скорость последовательного порта в бит/с, для организации управления с ПК = 115 200 бит/с; • PARITY — наличие проверки паритета принимаемого или передающего байта по UART, проверка на четность/нечетность отсутствует = “NO”;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


ПЛИС

• FIRST_BIT — порядок передачи разрядов в байте, младшим битом вперед = “LSB”; • NUMBER — максимальный размер UARTпосылки для приема и передачи = 256; • RX_TIMEOUT — длительность тайм-аута (в количестве UART-слов) для приемной посылки. В случае ошибки при приеме посылки — по истечении данного тайм-аута прием посылки сбросится; • TX_PAUSE — пауза между UART-словами (в количестве разрядов UART-слов) при передаче посылки. Полезно использовать параметризированные модули, так как это позволяет вести более гибкую отладку. Другими словами, достаточно поменять параметры в головном модуле проекта, и параметры остальных модулей автоматически поменяются под изменившиеся условия. Данный подход заключается в том, чтобы минимизировать ошибки разработчика при изменении параметров проекта. Далее опишем поведение светодиодов и подключение модуля системного сброса Reset.sv: ifdef image0 assign led[0] = 1’b0; assign led[1] = 1’b1; assign led[2] = 1’b1; assign led[3] = 1’b0; `elsif image1 assign led[0] = 1’b1; assign led[1] = 1’b0; assign led[2] = 1’b0; assign led[3] = 1’b1; `endif assign led[4] = 1’b1; ///// RESET ///// wire reset; Reset Reset( .clk (inclk), .reset (reset));

Читателя может смутить конструкция `ifdef … `elsif … `endif и связанное с ней различное состояние светодиодов. Но следует напомнить, что цель статьи — реализовать на ПЛИС две конфигурационные схемы, с возможностью переключаться между ними и делать перезапись любой из них. Поэтому различать на отладочной плате, какая из конфигураций загружена в данный момент, планируется по разным состояниям светодиодов. Константы image0 и image1 описаны в файле inc_define.vh, который, напомним, будет представлен ниже. Подключение к проекту модуля Receiver.sv, обеспечивающего прием посылки с ПК: ///// RECEIVER ///// wire [7:0] cmd_rx; wire [clogb2(NUMBER)-1:0] len_rx; wire [7:0] wr_rx_data; wire [clogb2(NUMBER)-1:0] wr_rx_addr; wire wr_rx_clock; wire we_rx; wire rx_done; defparam Receiver.CLOCK = CLOCK; defparam Receiver.BAUD = BAUD; defparam Receiver.PARITY = PARITY; defparam Receiver.FIRST_BIT = FIRST_BIT; defparam Receiver.NUMBER = NUMBER; defparam Receiver.TIMEOUT = RX_TIMEOUT;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

Receiver Receiver ( .clk (inclk), .reset (reset), .rxd (rxd_uart), .cmd (cmd_rx), .len_rx (len_rx), .wr_data (wr_rx_data), .wr_addr (wr_rx_addr), .wr_clock (wr_rx_clock), .we (we_rx), .done (rx_done) );

Поясним назначение описанных выше сигналов: • cmd_rx — номер команды, принятой с ПК. Именно данным сигналом будут определяться дальнейшие действия; • len_rx — длина принятых данных. Размерность шины определяется через функцию clogb2(), описание которой приведено в файле inc_define.vh. Размерность шины данного сигнала определяется параметром NUMBER, который устанавливается в шапке головного модуля, а функция clogb2() определяет, какой размерности должен быть сигнал, чтобы адресовать все указанные данные; • wr_rx_data, wr_rx_addr, wr_rx_clock, we_rx — интерфейс записи в память для принимаемых от ПК данных. Как видно на рис. 10, память для посылок располагается в модуле Memory.sv; • rx_done — сигнал окончания приема посылки от ПК. Как видно, необходимые для работы модуля параметры передаются ниже по уровню с помощью defparam. Формат посылки от ПК будет представлен при подробном рассмотрении модуля Receiver.sv. Подключение к проекту модуля Transmitter.sv, обеспечивающего передачу посылки на ПК: ///// TRANSMITTER ///// wire start_tx; wire [7:0] cmd_tx; wire [clogb2(NUMBER)-1:0] len_tx; wire [7:0] rd_tx_data; wire [clogb2(NUMBER)-1:0] rd_tx_addr; wire rd_tx_clock; defparam Transmitter.CLOCK = CLOCK; defparam Transmitter.BAUD = BAUD; defparam Transmitter.PARITY = PARITY; defparam Transmitter.FIRST_BIT = FIRST_BIT; defparam Transmitter.NUMBER = NUMBER; defparam Transmitter.PAUSE = TX_PAUSE; Transmitter Transmitter ( .clk (inclk), .reset (reset), .txd (txd_uart), .start (start_tx), .cmd (cmd_tx), .len (len_tx), .rd_data (rd_tx_data), .rd_addr (rd_tx_addr), .rd_clock (rd_tx_clock) );

Сигналы: • start_tx — строб начала передачи пакета; • cmd_tx, len_tx — номер команды и количество байт данных для передачи; • rd_tx_data, rd_tx_addr, rd_tx_clock — интерфейс чтения данных из памяти данных для отправки на ПК. Память для отправки описана в модуле Memory.sv.

17

Подключение модуля Memory.sv, содержащего описание двухпортовой памяти для приема и передачи пакетов данных: ///// MEMORY ///// wire [7:0] rd_rx_data; wire [clogb2(NUMBER-1):0] rd_rx_addr; wire rd_rx_clock; wire [7:0] wr_tx_data; wire [clogb2(NUMBER-1):0] wr_tx_addr; wire wr_tx_clock; wire we_tx; defparam Memory.NUMBER = NUMBER; Memory Memory ( .wr_rx_data (wr_rx_data), .wr_rx_addr (wr_rx_addr), .wr_rx_clock (wr_rx_clock), .we_rx (we_rx), .rd_rx_data (rd_rx_data), .rd_rx_addr (rd_rx_addr), .rd_rx_clock (rd_rx_clock), .wr_tx_data (wr_tx_data), .wr_tx_addr (wr_tx_addr), .wr_tx_clock (wr_tx_clock), .we_tx (we_tx), .rd_tx_data (rd_tx_data), .rd_tx_addr (rd_tx_addr), .rd_tx_clock (rd_tx_clock) );

Сигналы: • wr_rx_data, wr_rx_addr, wr_rx_clock, we_rx — интерфейс для записи в память принимаемых от ПК данных; • rd_rx_data, rd_rx_addr, rd_rx_clock — интерфейс для чтения принятых от ПК данных для последующих действий; • wr_tx_data, wr_tx_addr, wr_tx_clock, we_tx — интерфейс для записи в память данных перед отправкой их на ПК; • rd_tx_data, rd_tx_addr, rd_tx_clock — интерфейс для чтения данных из памяти при передачи их на ПК. Подключение модуля MainControl.sv, основной задачей которого является запуск тех или иных действий в зависимости от принятой с ПК команды: ///// MAIN CONTROL ///// wire start_setimg, start_getimg; wire [1:0] setimg; wire [3:0] getimg; wire done_getimg; wire start_rx = rx_done; defparam MainControl.NUMBER = NUMBER; MainControl MainControl ( .clk (inclk), .reset (reset), .start_rx (start_rx), .cmd_rx (cmd_rx), .len_rx (len_rx), .start_tx (start_tx), .cmd_tx (cmd_tx), .len_tx (len_tx), .rd_data (rd_rx_data), .rd_addr (rd_rx_addr), .rd_clock (rd_rx_clock), .wr_data (wr_tx_data), .wr_addr (wr_tx_addr), .wr_clock (wr_tx_clock), .we (we_tx), .start_setimg (start_setimg), .start_getimg (start_getimg), .setimg (setimg), .getimg (getimg), .done_getimg (done_getimg) );

Сигналы: • start_rx — строб от модуля Receiver.sv, сигнализирующий о принятом пакете с данными от ПК; www.kite.ru


ПЛИС

18

• cmd_rx, len_rx — номер команды и длина пакета данных, принятых от ПК, соответственно; • start_tx — строб для модуля Transmitter. sv для инициации передачи пакета на ПК; • cmd_tx, len_tx — номер команды и длина пакета данных для передачи на ПК соответственно; • start_setimg, start_getimg — строб на перезагрузку конфигурации и строб для чтения текущей конфигурации ПЛИС соответственно; • setimg — номер конфигурации для ее загрузки в формате бит ru_config_sel и ru_ config_sel_overwrite из таблицы 3; • getimg — номер текущей конфигурации ПЛИС в формате msm_cs из таблицы 2; • done_getimg — строб окончания чтения текущей конфигурации ПЛИС. Сигналы интерфейсов памяти уже упоминались выше. В завершение главного модуля проекта Top.sv осталось привести подключение модулей ImageControl.sv, fiftyfivenm_rublock и тестовый модуль tb_RSU_Block.sv: ///// IMAGE CONTROL ///// wire RU_CLK; wire RU_DIN, RU_DOUT; wire RU_SHIFTnLD, RU_CAPTnUPDT; wire RU_nCONFIG, RU_nRSTIMER; ImageControl ImageControl( .clk (inclk), .reset (reset), .start_setimg (start_setimg), .start_getimg (start_getimg), .setimg (setimg), .getimg (getimg), .done_getimg (done_getimg), .RU_CLK (RU_CLK), .RU_DIN (RU_DIN), .RU_DOUT (RU_DOUT), .RU_SHIFTnLD (RU_SHIFTnLD), .RU_CAPTnUPDT (RU_CAPTnUPDT), .RU_nCONFIG (RU_nCONFIG), .RU_nRSTIMER (RU_nRSTIMER) ); `ifndef SIM fiftyfivenm_rublock RuBlock( .clk (RU_CLK), .shiftnld (RU_SHIFTnLD), .captnupdt (RU_CAPTnUPDT), .regin (RU_DIN), .rsttimer (RU_nRSTIMER), .rconfig (RU_nCONFIG), .regout (RU_DOUT) );

новости

for (int i = 0; 2**i < number; i++) calc = i + 1; clogb2 = (number == 0) ? 0 : (number == 1) ? 1 : calc; end endfunction

`else tb_RSU_Block tb_RSU_Block( .RU_CLK (RU_CLK), .RU_SHIFTnLD (RU_SHIFTnLD), .RU_CUPTnUPDT (RU_CAPTnUPDT), .RU_DIN (RU_DIN), .RU_DOUT (RU_DOUT) ); `endif

function automatic [7:0] funcReverse8bit (input [7:0] in_word); int i; begin for (i=0; i<8; i=i+1) funcReverse8bit[i] = in_word[7-i]; end endfunction

endmodule

Напомним читателю назначение данных модулей. Модуль ImageControl.sv выполняет две операции — инициация загрузки одной из двух конфигурационных схем и чтение номера текущей конфигурационной схемы. Данные операции модуль выполняет посредством действий, изображенных на рис. 8 и 9. Модуль fiftyfivenm_rublock — внутренний аппаратный модуль, реализующий схему, изображенную на рис. 7. Подключать данный модуль к проекту необходимо именно с таким наименованием модуля и его портов. Поскольку разработанный RTL-проект перед загрузкой в ПЛИС принято сначала моделировать, а модели поведения модуля fiftyfivenm_rublock автор не имеет, решено было подключить тестбенч-модуль tb_RSU_Block.sv, в котором автор описал примерное поведение схемы, изображенной на рис. 7. Директивами прекомпилятора `ifndef … `else … `endif при моделировании (если определен параметр SIM) следует использовать модуль tb_RSU_Block.sv, а при компиляции реального проекта — fiftyfivenm_rublock.

function automatic [31:0] funcReverse32bit (input [31:0] in_word); int i; begin for (i=0; i<32; i=i+1) funcReverse32bit[i] = in_word[31-i]; end endfunction

Директива `timescale необходима симулятору для градации времени моделирования [3] проекта. Директивы `define image0 и image1 нужны для определения, какую часть кода необходимо учитывать при компиляции одной из двух конфигураций. Директивой define SIM указывается часть кода, необходимая только для симуляции проекта. Назначение функции clogb2() было описано выше — она определяет, какой размерности должна быть шина или регистр, чтобы адресовать все указанные данные. Функции funcReverse8bit() и funcReverse32bit() реверсируют аргумент размерностью 8 и 32 разряда соответственно. В следующей части статьи будет продолжена реализация RTL-кода остальных модулей проекта, а также приведены временные диаграммы моделирования отдельных модулей. n

Файл inc_define.vh Этот заголовочный файл содержит описания функций и директив прекомпилятора:

Литература

`timescale 1ns/1ns;

1. 1800-2009 IEEE Standard for System VerilogUnified Hardware Design, Specification, and Verification Language. 2. MAX 10 Handbook. Configuration Schemes and Features, Accessing Remote System Upgrade through User Logic. 3. Mentor Graphics. ModelSim Tutorial. May, 2008.

//`define image0 `define image1 `define SIM function automatic int clogb2 (input int number); int calc; begin

ВЧ/СВЧ-компоненты

Генераторы, управляемые напряжением, от компании «Радиокомп»

Компания «Радиокомп», продолжая работы по импортозамещению зарубежных электронных компонентов, выпустила новые изделия — генераторы, управляемые напряжением (ГУН), на основе коаксиально-керамических резонаторов. Устройства

являются полными аналогами моделей компаний Mini-Circuits и Z‑Communications. Преимущества новых генераторов заключаются в низком уровне фазового шума, малых габаритах и низкой цене. Модели выполнены под поверхностный монтаж. Основные характеристики: • возможные номинальные частоты: 900–4100 МГц; • перестройка по частоте: 0–10%; • уровень фазового шума при отстройке 10 кГц от несущей: от –100 до –115 дБн/Гц;

• • • • • • •

максимальный уровень гармоник: –20 дБн; выходная мощность: 3–10 дБм; напряжение питания: 5 В; напряжение перестройки: 0,5–4,5 В; максимальный потребляемый ток: 50 мА; диапазон рабочих температур: –50…+85 °C; размеры: 12,7×12,7×5,5 мм. Срок поставки генераторов составляет 30–90 дней. www.radiocomp.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


Реклама

Реклама

19

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

www.kite.ru


20

ПЛИС

Методики проектирования встроенных процессоров на FPGA

Валерий Соловьев, д. т. н. valsol@mail.ru

Введение Современные программируемые логические интегральные схемы для выполнения программ и алгоритмов часто включают процессоры, называемые встроенными процессорами (embedded processors). В FPGA встроенный процессор может быть реализован «жестко» (hard), занимая часть кристалла, или «мягко» (soft), путем конфигурирования внутренней структуры FPGA. В последнем случае для реализации процессора будут задействованы ресурсы FPGA: логические элементы и блоки встроенной памяти. При жесткой реализации процессора в структуре FPGA программируемую микросхему называют системой на кристалле (System on Chip, SoC). Необходимость в проектировании процессора на FPGA может возникнуть, если: • отсутствует микросхема SoC и встраиваемую систему с процессором необходимо реализовать на FPGA; • используется только ограниченный набор команд известного процессора и для экономии ресурсов FPGA реализуется подмножество команд некоторого процессора;

В статье рассмотрены три методики проектирования встроенных процессоров на программируемых логических интегральных схемах (ПЛИС — field programmable gate array — FPGA): традиционный подход, методика FSM-single и методика ASMD-FSMD — в случае проектирования PICпроцессора. Для каждой методики описываются особенности проектирования одно-, двух-, трех- и четырехтактовых PIC-процессоров. При использовании традиционного подхода последовательно рассматриваются группы реализуемых процессором команд, а компоненты PIC-процессора разрабатываются параллельно. В методике FSM-single максимально используются компоненты однотактового PIC-процессора, построенного с помощью традиционного подхода. Разработка процессора по методике ASMD-FSMD заключается в построении блок-схемы автомата с трактом данных (algorithmic state machine with datapath, ASMD) и создании на языке Verilog кода проекта в виде конечного автомата с трактом данных (finite state machine with datapath, FSMD). Показано, что хотя методики FSM-single и ASMD-FSMD немного уступают традиционному подходу по стоимости реализации, однако они позволяют значительно (в 5–8 раз) сократить время разработки проектов. Кроме того, методика ASMD-FSMD позволяет увеличить быстродействие процессоров, в отдельных случаях на 40%. Даны рекомендации по применению рассмотренных методик проектирования встроенных процессоров на FPGA.

• процессор необходим для выполнения одной конкретной программы, в этом случае возможно значительное уменьшение используемых ресурсов FPGA; • реализуется оригинальный процессор со своей системой команд. Кроме того, на FPGA часто реализуются прототипы новых процессоров для их последующего исследования. Разработка нового процессора требует много времени и усилий со стороны разработчика. Возникает вопрос: можно ли сократить время разработки нового процессора? Данная статья призвана ответить на этот вопрос. Обычно при разработке нового процессора вначале строится проект однотактового процессора. На прототипе однотактового процессора проверяются главные идеи (концепции), положенные в основу разрабатываемого процессора, исследуется эффективность архитектуры процессора, уточняется система команд процессора и т. д. Затем на базе одноциклового процессора строятся многотактовые процессоры, которые служат основой для создания конвейерных процессоров. И уже на основе конвейерного про-

цессора строятся высокопроизводительные суперскалярные, многопотоковые и многоядерные процессоры. В настоящей статье рассматриваются три методики проектирования встроенных процессоров: традиционный подход, методика FSM-single и методика ASMD-FSMD — на примере разработки PIC-процессоров. Для каждой методики описываются особенности проектирования одно-, двух-, трехи четырехтактовых PIC-процессоров.

PIC-процессор За основу архитектуры реализуемого PIC-процессора (рис. 1) принята архитектура системы команд популярного микроконтроллера PIC16F84A [1]. Один из операндов данной архитектуры всегда находится в аккумуляторе W, а другой поступает из файла регистров RF (register file), который объединяет регистры общего назначения. Местоположение результата определяется значением бита d, который находится в коде команды. При d = 0 результат помещается в аккумулятор W, а при d = 1 результат записывается обратно в файл регистров RF.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


ПЛИС

В PIC-процессоре [2], в отличие от микроконтроллера PIC16F84A, используется традиционная память данных DM (data memory) типа RAM. Для взаимодействия процессора с памятью данных в систему команд PICпроцессора введены две дополнительные команды: lw — загрузить значение аккумулятора из памяти данных и sw — сохранить значение аккумулятора W в памяти данных. Отметим, что архитектура, представленная на рис. 1, сочетает преимущества аккумуляторной архитектуры и архитектуры «регистр-регистр». При этом в коде команды указывается адрес в файле регистров только одного операнда, а местоположение результата определяется битом d. Это позволяет сократить длину слова команд до 14 бит. Система команд PIC-процессора приведена в [2]. Она с небольшими изменениями повторяет систему команд микроконтроллера PIC16F84A. Поскольку PIC-процессор не является микроконтроллером, он не имеет сторожевого таймера, режима ожидания и механизма обслуживания прерываний. Поэтому из списка команд исключены следующие команды микроконтроллера PIC16F84A: clrwdt, sleep и retfile. В то же время для обеспечения возможности ветвления программ в систему команд PIC-процессора добавлены наряду с lw и sw две новые команды: gotoz — переход по адресу, если результат предыдущей операции равен нулю, и gotonz — переход по адресу, если результат предыдущей операции не равен нулю.

Традиционный подход к проектированию встроенных процессоров

21

Рис. 1. Архитектура системы команд PIC-процессора

рый поступает на вход счетчика команд PC, операционного устройства (datapath), арифметико-логического устройства (arithmetic logic unit, ALU) и устройства управления (controller). Разработка всех этих устройств осуществляется параллельно. При этом последовательно рассматриваются отдельные группы команд PIC-процессора и в структуру каждого устройства добавляются необходимые компоненты для реализации данной группы команд. Формально методику проектирования однотактового PIC-процессора с помощью традиционного подхода можно представить в виде следующего алгоритма: 1. Определение элементов памяти процессора. 2. Создание схемы логики для определения адреса следующей команды. 3. Определение компонентов операционного устройства для реализации отдельных групп команд.

4. Проектирование арифметико-логического устройства. 5. Проектирование устройства управления в виде комбинационной схемы. Список команд PIC-процессора делится на следующие группы команд: пересылки данных; операции с байтами, с битами, с константами; циклического сдвига; инкремента и декремента с пропуском следующей команды, если результат нулевой; загрузки данных в аккумулятор W из памяти данных и сохранения значения аккумулятора W в памяти данных; перехода, вызова подпрограмм и выхода из подпрограмм; перехода в зависимости от результата предыдущей операции. Схема однотактового PIC-процессора показана на рис. 3. Компоненты операционного устройства соединяются с помощью шин и мультиплексоров. Устройство управления на основании слова команды, флага нуля z

В [3] изложена методика проектирования процессоров на FPGA, которая повторяется в [4]. Данную методику будем называть традиционным подходом, с которым и сравним предлагаемые методики. Проектирование однотактового процессора Главная особенность однотактового процессора состоит в том, что все команды выполняются в течение одного такта синхронизации. Проектирование однотактового процессора начинается с определения элементов памяти процессора (рис. 2). Такими элементами являются счетчик команд PC (program counter), память команд IM (instruction memory), файл регистров RF, память данных DM и аккумулятор W. Отметим, что для однотактового процессора данные из всех элементов памяти читаются комбинационным способом, а записываются по положительному фронту синхросигнала. Исключение составляет память команд IM, данные из которой читаются по положительному фронту синхросигнала. Проектирование однотактового процессора заключается в разработке логики определения адреса следующей команды (PC-logic), кото-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

Рис. 2. Элементы памяти однотактового PIC-процессора

Рис. 3. Схема однотактового PIC-процессора

www.kite.ru


22

ПЛИС

и флага нуля предыдущей операции pz формирует сигналы выбора для мультиплексоров, а также сигналы управления для элементов памяти и логики определения адреса следующей команды. Отметим, что в однотактовом процессоре устройство управления представляет собой комбинационную схему. Детальное описание процесса проектирования однотактового PIC-процессора с помощью традиционного подхода и код проекта на языке Verilog приведены в [2]. Проектирование многотактового процессора В многотактовом процессоре выполнение каждой команды делится на стадии или этапы. Время выполнения самой медленной стадии меньше, чем время выполнения всей команды. Поэтому частота синхронизации многотактового процессора выше, чем однотактового процессора. Типичными стадиями выполнения команд многотактовых процессоров являются: выборка команды (Fetch); дешифрация команды (Decode); выполнение команды (Execute); запись/чтение памяти (Memory). Структура многотактового PIC-процессора во многом напоминает структуру однотактового процессора, например, операционное устройство практически совпадает с операционным устройством однотактового процессора. Основные отличия в проектах многотактового и однотактового процессоров заключаются в их устройствах управления. В многотактовом процессоре устройство управления представляет собой конечный автомат (finite state machine — FSM). При выполнении некоторой команды конечный автомат проходит через несколько состояний, в которых формируются управляющие сигналы, необходимые для выполнения определенной стадии. Число состояний конечного автомата может совпадать или не совпадать с числом стадий многотактового процессора. Обычно конечный автомат многотактового процессора представляется в виде множества путей (циклов), которые начинаются и оканчиваются в начальном состоянии. Прохождение автомата через состояния одного цикла соответствует стадиям выполнения некоторой команды. Затем автомат готов к выполнению следующей команды. Имеется много подходов к проектированию устройства управления многотактового процессора: когда число состояний конечного автомата совпадает с числом стадий; каждый цикл конечного автомата реализует одну команду; каждый цикл конечного автомата реализует группу команд и др. Устройство управления многотактового процессора при традиционном подходе представляется в виде конечного автомата типа Мура. Проектирование многотактового процессора сводится к последовательному определению состояний конечного автомата (рис. 4): для выбора команды (состояние S0), для дешифрации команды (состояние S1), а также для реализации отдельных групп команд (состояния S2–S15). Пути переходов из состояния S1 определяются признаками (кодами) отдельных команд или групп команд. В каждом состоянии определяются управляющие сигналы, принимающие единичное значение для реализации соответствующей команды (группы команд). Методику проектирования многотактового PIC-процессора на основе компонентов однотактового процессора можно представить в виде следующего алгоритма: 1. Определение элементов памяти процессора. 2. Создание схемы логики для определения адреса следующей команды. 3. Определение компонентов операционного устройства для реализации отдельных групп команд; добавление в операционное устройство регистров, необходимых для построения многотактового процессора. 4. Проектирование арифметико-логического устройства. 5. Проектирование устройства управления в виде конечного автомата Мура. В многотактовом процессоре схема логики для определения адреса следующей команды и арифметико-логическое устройство полностью совпадают с аналогичными компонентами однотактового

Рис. 4. Устройство управления многотактового PIC-процессора

процессора. Отличия заключаются в добавлении в операционное устройство регистров, необходимых для построения многотактового процессора, а также в проектировании устройства управления, которое строится в виде конечного автомата Мура. Каждой команде на рис. 4 соответствует путь, проходящий через три состояния конечного состояния. Поэтому многотактовый PIC-процессор, построенный по указанной методике, представляет собой трехтактовый процессор, поскольку каждая команда в многотактовом PIC-процессоре выполняется за три такта синхросигнала. Детальное описание процесса проектирования многотактового PIC-процессора с помощью традиционного подхода и код проекта на языке Verilog приведены в [2]. В PIC-процессоре дешифрация команды выполняется простым проводным соединением и не требует отдельного такта синхронизации. Поэтому двухтактовый PIC-процессор с помощью традиционного подхода строится простым объединением состояний S0 и S1 на рис. 4. Может возникнуть вопрос, как спроектировать четырехтактовый процессор с традиционными стадиями обработки команд — выборки, дешифрации, выполнения и чтения/записи памяти? Четырехтактовый процессор может, например, понадобиться для создания конвейерного процессора, где требуется увеличить число стадий выполнения каждой команды с целью увеличения производительности всего процессора. Для построения четырехтактового PIC-процессора в структуру операционного устройства вводится регистр A на входе A арифметико-логического устройства, а также регистр O на выходе ALU. Запись в регистры A и O управляется сигналами awe и owe соответственно. Отметим, что команды sw и lw (сохранения значения аккумулятора W в памяти данных DM и загрузки аккумулятора W из памяти данных) выполняются на стадии Execute, как обычные команды. Граф автомата четырехтактового PIC-процессора показан на рис. 5. На стадии Fetch по сигналу irwe выполняется чтение команды из памяти команд IM. На стадии Decode выполняется запись операнда в регистр A по сигналу awe, а также запись адреса следующей коман-

Рис. 5. Устройство управления четырехтактового PIC-процессора

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


ПЛИС

23

ды в регистр PC по сигналу pcwe. На стадии Execute реализуется соответствующая команда, результат записывается в регистр O по сигналу owe. На стадии Memory по сигналу mem результат из регистра O помещается либо в файл регистров RF, либо в аккумулятор W.

Методика FSM-single проектирования многотактовых процессоров Из практики инженерного проектирования хорошо известно, что значительное время приходится тратить на проектирование устройства управления, поскольку многие компоненты операционного устройства являются стандартными функциональными узлами, а устройство управления для каждого проекта необходимо проектировать всякий раз заново. Например, при традиционном подходе к проектированию многотактовых процессоров широко использовались компоненты операционного устройства однотактового процессора, а устройство управления проектировалось отдельно в виде конечного автомата. Возникает вопрос: а нельзя ли в проекте многотактового процессора использовать устройство управления однотактового процессора? Оказывается, можно, и такая методика получила название FSM-single (совмещение конечного автомата и контроллера однотактового процессора). Структура четырехтактового PIC-процессора, построенного по методике FSM-single, показана на рис. 6. В этой структуре устройство управления (controller) полностью совпадает с устройством управления однотактового процессора, а операционное устройство (datapath) — с операционным устройством четырехтактового процессора. В структуру PIC-процессора на рис. 6 добавлен конечный автомат FSM, который формирует сигналы F, D, E и M, соответствующие стадиям четырехтактового процессора. Сигналы, управляющие работой операционного устройства, образуются путем объединения по И (AND) сигналов F, D, E и M конечного автомата (FSM) и сигналов, формируемых устройством управления (Controller). Конечный автомат FSM представляет собой простой циклический автомат типа Мура (рис. 7). Состояния конечного автомата соответствуют стадиям многотактового процессора, переходы между состояниями безусловные, а в состояниях S0, S1, S2 и S3 формируются сигналы F, D, E и M соответственно. Конечный автомат FSM на рис. 7 является очень простым, поэтому методика FSM-single позволяет значительно сократить время проектирования многотактовых процессоров, поскольку отпадает необходимость в разработке устройства управления. Двухтактовый PIC-процессор по методике FSM-single строится путем объединения в автомате FSM состояний S0 и S1, а также S2 КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

Рис. 6. Структура четырехтактового процессора, построенного по методике FSM-single

и S3 вместе и использования операционного устройства двухтактового процессора. Коды на языке Verilog двух- и четырехтактового PIC-процессоров, построенных по методике FSM-single, приведены в [2]. Трехтактовый PIC-процессор по методике FSM-single строится путем объединения вместе состояний S0 и S1 или S2 и S3.

Методика ASMD-FSMD-проектирования встроенных процессоров Разработка цифровых устройств с помощью методики ASMD-FSMD заключается в построении блок-схемы автомата с трактом данных (algorithmic state machine with data path, ASMD) поведения устройства и описании на языке Verilog кода проекта в виде конечного автомата с трактом данных (finite state machine with data path, FSMD) [5]. Блок-схема ASMD строится из блоков ASMD. Каждый блок ASMD описывает поведение FSMD в одном состоянии в течение одного такта синхронизации (рис. 8). Блок ASMD включает одну вершину состояния (прямоугольник) и может иметь несколько условных вершин (ромбов) и вершин выходов по условию (овалов), причем ромбы могут как предшествовать овалам, так и следовать после них. Блок ASMD имеет только один вход, который является входом в вершину состояния, и может иметь один или несколько выходов. Входы и выходы вершин соединяются с помощью дуг. Обратные

Рис. 8. Блок ASMD

Рис. 7. Граф конечного автомата FSM в структуре процессора на рис. 6

связи запрещены внутри блока ASMD. Циклы алгоритма и ждущие состояния в блок-схеме ASMD реализуются с помощью внешних (по отношению к блоку ASMD) обратных связей. Для автомата типа Мура внутри вершины состояния (прямоугольника) записываются операции, выполняемые в данном состоянии FSMD. Для автомата типа Мили в вершинах выхода по условию (овалах) записываются операции, выполняемые на данном переходе FSMD. В условных вершинах (ромбах) записываются логические выражения. Выходы условной вершины обозначаются значениями 0 и 1, которые соответствуют переходам в случае ложного или истинного значения логического выражения. В качестве операций, записываемых в прямоугольниках и овалах, а также в качестве логических выражений могут использоваться любые операции и логические выражения, допустимые в языке Verilog. Методику проектирования цифровых устройств ASMD-FSMD представим в виде следующего алгоритма: 1. Определяются состояния FSMD. 2. Для каждого состояния строится блок ASMD. 2.1. В условных вершинах ASMD записываются логические функции, значение которых проверяется в данном состоянии. 2.2. Для FSMD Мура в вершинах состояний (прямоугольниках) записываются операции, выполняемые с содержимым регистров в данном состоянии. 2.3. Для FSMD Мили в вершинах выходов по условию (овалах) записываются операции, выполняемые с содержимым регистров на данном переходе. 3. Блоки ASMD соединяются между собой в соответствии с алгоритмом работы устройства. При этом каждый выход блока ASMD может быть соединен только с одним входом данного или другого блока ASMD. 4. При необходимости выполняется оптимизация ASMD для увеличения производительности или уменьшения стоимости реализации. 5. Непосредственно по ASMD строится код FSMD на языке Verilog. Переменным ASMD в коде соответствуют регистры или триггеры (для однобитных переменных). www.kite.ru


24

ПЛИС

лей. В главном модуле создаются экземпляры элементов памяти и на основании слова команды формируются признаки команд. Логика определения адреса следующей команды и реализация команд описываются в виде отдельных процессов (блоков always). В листинге 1 приводится код однотактового PIC-процессора, построенного согласно методике ASMD-FSMD. module PIC_1_cycle_ASMD #(parameter DATA_WIDTH=128, // ширина шины данных DADDR_WIDTH=8, // ширина адреса памяти данных INSTR_WIDTH=14, // размер слова команды IADDR_WIDTH=10, // ширина адреса памяти команд STACK_ADDR_WIDTH=3, // ширина адреса стека REGFILE_ADDR_WIDTH=7, // ширина адреса файла регистров STACK_DEPTH=(1<<STACK_ADDR_WIDTH), // глубина стека REGFILE_DEPTH=(1<<REGFILE_ADDR_WIDTH)) // глубина файла регистров

Рис. 9. Блок-схема ASMD для реализации команд однотактового PIC-процессора

Логическим функциям, проверяемым в условных вершинах ASMD, соответствуют логические выражения в операторах if. Действия, выполняемые в блоках ASMD, описываются в виде процедурных блоков begin…end. Операции, выполняемые в прямоугольниках ASMD (для автоматов Мура), описываются в начале блока begin…end, а операции, выполняемые в ромбах (для автоматов Мили), описываются в соответствующих местах операторов if (возможно с использованием операторных скобок begin…end). Отметим, что в методике ASMD-FSMD допускаются параллельные процессы, которые могут описываться различными блок-схемами ASMD, например вычисление адреса следующей команды одновременно с выполнением некоторой команды процессора. В коде проекта для описания параллельно выполняемых процессов используются отдельные блоки always или операторы непрерывного назначения assign языка Verilog. На рис. 9 показан общий вид блок-схемы ASMD для реализации команд однотактового PIC-процессора. Блок-схема на рис. 9 состоит из одного блока ASMD для автомата Мили. В ромбах на рис. 9 проверяются признаки команд (i_1,…,i_k), а в овалах записываются действия (ex_1,…,ex_k), необходимые для реализации конкретной команды, в виде операторов назначения, присваивающих значения выражений определенным переменным. Блок-схему ASMD на рис. 9 можно описать в виде цепочки операторов if-else-if или с помощью одного оператора case языка Verilog. В коде однотактового PIC-процессора, создаваемого с помощью методики ASMDFSMD, элементы памяти (счетчик команд PC, файл регистров RF, аккумулятор W, триггеры для хранения флагов c и z, а также стек) описываются в виде отдельных моду-

(inputclk, reset, // сигналы синхронизации и сброса input [INSTR_WIDTH-1:0] Instr, // команда (инструкция) input [DATA_WIDTH-1:0] Read_Data, // данные из памяти // данных output [IADDR_WIDTH-1:0] IAddr, // адрес памяти команд outputreg [DADDR_WIDTH-1:0] DAddr, // адрес памяти данных outputreg[DATA_WIDTH-1:0] Write_Data, // данные // в память данных outputregesw, // разрешение записи в память данных outputregerror_imem, // ошибка адреса // памяти команд outputerror_stack, // ошибка адреса стека output [DATA_WIDTH-1:0] Data_Bus); // шина данных localparamN=DATA_WIDTH; reg [N-1:0] out; // результат операции reg [IADDR_WIDTH-1:0] stack_in; // шина входных данных // стека wire [IADDR_WIDTH-1:0] stack_out; // шина выходных данных // стека // признаки команд wire lw,sw,call,goto,wreturn,retlw,decfsz,incfsz,btfsc,btfss,gotoz,gotonz; wire addwf,andwf,clrf,clrw,comf,decf,incf,iorwf,movf,movwf,nop,rlf,rrf; wiresubwf,swapf,xorwf,bcf,bsf,addlw,andlw,iorlw,movlw,sublw,xorlw; // дешифрация команды wire [7:0] k1=Instr[7:0]; // константа формата c wire [9:0] k2=Instr[9:0]; // константа формата d wire [6:0] f=Instr[6:0]; // номер регистра в файле регистров wire [2:0] bn=Instr[9:7]; // номер бита для установки // или обнуления wire d=Instr[7]; // направление записи результата regskip; // признак пропуска следующей команды regrstack; // указатель чтения из стека regwstack; // указатель записи в стек wire z; // признак нуля wirepz; // признак нуля предыдущей операции reg [DATA_WIDTH-1:0] W_in; // вход аккумулятора W wire [DATA_WIDTH-1:0] W_out; // выход аккумулятора W reg [IADDR_WIDTH-1:0] PC_in; // вход счетчика команд PC reg [DATA_WIDTH-1:0] RF_in; // вход файла регистров wire [DATA_WIDTH-1:0] RF_out; // выход файла регистров reg c; // бит переноса wireffc; // выход триггера бита переноса // создание экземпляра файла регистров regfile #(REGFILE_ADDR_WIDTH,REGFILE_DEPTH,DATA_WIDTH) ex_RF(clk,1'b1,f,RF_in,RF_out); // создание экземпляра стека stack#(.IADDR_WIDTH(IADDR_ WIDTH), .STACK_ADDR_WIDTH(STACK_ADDR_WIDTH), .STACK_DEPTH(STACK_DEPTH)) ex_stack(.data_in(stack_in), .clk(clk), .reset(reset), .rd(rstack), .wr(wstack), .data_out(stack_out), .error(error_stack)); ffex_ffc(clk, reset, c, ffc); // триггер переноса ffex_ffz(clk, reset, z, pz); // триггер признака нуля // аккумулятор W register #(DATA_WIDTH) ex_W(clk, reset, W_in, W_out); // счетчик команд PC register #(IADDR_WIDTH) ex_PC(clk, reset, PC_in, IAddr); // формирование признаков команд assignlw = (Instr[13:8] == 6'b11_0001); assignsw = (Instr[13:8] == 6'b11_0010); assign call = (Instr[13:11] == 3'b10_0); assigngoto = (Instr[13:11] == 3'b10_1); assignwreturn = (Instr[13:0] == 14'b00_0000_0000_1000); assignretlw = (Instr[13:10] == 6'b11_0100); assigndecfsz = (Instr[13:8] == 6'b00_1011); assignincfsz = (Instr[13:8] == 6'b00_1111); assignbtfsc = (Instr[13:10] == 4'b01_10); assignbtfss = (Instr[13:10] == 4'b01_11);

assigngotoz = (Instr[13:8] == 6'b11_0101); assigngotonz = (Instr[13:8] == 6'b11_0110); assignaddwf = (Instr[13:8] == 6'b00_0111); assignandwf = (Instr[13:8] == 6'b00_0101); assignclrf = (Instr[13:7] == 7'b00_0001_1); assignclrw = (Instr[13:7] == 7'b00_0001_0); assigncomf = (Instr[13:8] == 6'b00_1001); assigndecf = (Instr[13:8] == 6'b00_0011); assignincf = (Instr[13:8] == 6'b00_1010); assigniorwf = (Instr[13:8] == 6'b00_0100); assignmovf = (Instr[13:8] == 6'b00_1000); assignmovwf = (Instr[13:7] == 7'b00_0000_1); // assign nop = (Instr[13:0] == 14'b00_0000_0xx0_0000); assignrlf = (Instr[13:8] == 6'b00_1101); assignrrf = (Instr[13:8] == 6'b00_1100); assignsubwf = (Instr[13:8] == 6'b00_0010); assignswapf = (Instr[13:8] == 6'b00_1110); assignxorwf = (Instr[13:8] == 6'b00_0110); assignbcf = (Instr[13:10] == 4'b01_00); assignbsf = (Instr[13:10] == 4'b01_01); assignaddlw = (Instr[13:9] == 5'b11_111); assignandlw = (Instr[13:8] == 6'b11_1001); assigniorlw = (Instr[13:8] == 6'b11_1000); assignmovlw = (Instr[13:8] == 6'b11_0000); assignsublw = (Instr[13:9] == 5'b11_110); assignxorlw = (Instr[13:8] == 6'b11_1010); assignData_Bus = out; // результат операции на шину данных assign z = ~|out; // признак нуля результата // логика определения адреса // следующей команды always @(*) begin wstack = 1'b0; rstack = 1'b0; error_imem = 1'b0; stack_in = 0; if (goto) PC_in = k2; // goto else if (call) // call begin stack_in = IAddr + 1'b1; wstack = 1'b1; PC_in = k2; end else if (wreturn | retlw) // wreturn и retlw begin rstack = 1'b1; PC_in = stack_out; end else if (gotoz&&pz) // gotoz PC_in = k1; else if (gotonz&& !pz) // gotonz PC_in = k1; else if (skip) {error_imem,PC_in} = IAddr + 2'b10; // пропуск следую // щей команды else {error_imem,PC_in} = IAddr + 1'b1; // адрес следующей // команды end // реализация команд always @(*) begin esw = 1'b0; c = 0; W_in = 0; RF_in = 0; out = 0; DAddr = 0; Write_Data = 0; // команды пересылки данных if (movlw) W_in = k1; // movlw else if (movwf) RF_in = W_out; // movwf else if (movf) // movf begin out = RF_out; if (d) RF_in = out; else W_in = out; end // запись в и чтение из памяти данных else if (lw) // lw begin DAddr = k1; W_in = Read_Data; end else if (sw) begin DAddr = k1; Write_Data = W_out; esw = 1'b1; end

// sw

// операции над байтами else if (addwf) // addwf begin {c,out} = RF_out + W_out; if (d) RF_in = out;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


ПЛИС

else W_in = out; end else if (andwf) begin out = RF_out&W_out; if (d) RF_in = out; else W_in = out; end else if (clrf) RF_in = 0; else if (clrw) W_in = 0; else if (comf) begin out = ~RF_out; if (d) RF_in = out; else W_in = out; end else if (decf) begin {c,out} = RF_out – 1'b1; if (d) RF_in = out; else W_in = out; end else if (incf) begin {c,out} = RF_out + 1'b1; if (d) RF_in = out; else W_in = out; end else if (iorwf) begin out = RF_out | W_out; if (d) RF_in = out; else W_in = out; end // else if (nop) ;

// andwf

// clrf // clrw // comf

// sublw

// xorlw

// команды incfsz и decfsz — инкремента и декремента // с пропуском следующей команды elseif (incfsz) // incfsz begin {c,out} = RF_out + 1'b1; if (d) RF_in = out; else W_in = out; end else if (decfsz) // decfsz begin {c,out} = RF_out - 1'b1; if (d) RF_in = out; else W_in = out; end else if (retlw) // retlw W_in = k1; else ; end

// decf

// incf

// iorwf

// nop

else if (rlf) // rlf begin c = RF_out[N–1]; out = {RF_out[N–2:0],ffc}; if (d) RF_in = out; else W_in = out; end else if (rrf) // rrf begin c = RF_out[0]; out = {ffc,RF_out[N–1:1]}; if (d) RF_in = out; else W_in = out; end else if (subwf) // subwf begin {c,out} = RF_out - 1'b1; if (d) RF_in = out; else W_in = out; end else if (swapf) // swapf begin out = {RF_out[N/2–1:0],RF_out[N–1:N/2]}; if (d) RF_in = out; else W_in = out; end else if (xorwf) // xorwf begin out = RF_out ^ W_out; if (d) RF_in = out; else W_in = out; end else if (bsf) // bsf begin out = RF_out | ({{N–1{1'b0}},1'b1} <<bn); RF_in = out; end else if (bcf) // bcf begin out = RF_out& (~({{N–1{1'b0}},1'b1} <<bn)); RF_in = out; end // операции с константами else if (addlw) begin {c,out} = W_out + k1; W_in = out; end else if (andlw) begin out = W_out& k1; W_in = out; end else if (iorlw) begin out = W_out | k1; W_in = out; end

else if (sublw) begin {c,out} = k1 – W_out; W_in = out; end else if (xorlw) begin out = k1 ^ W_out; W_in = out; end

25

// addlw

// andlw

// iorlw

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

always @(*) // вычисление флага skip // реализация команд btfsc и btfss if (btfsc) // btfsc if (!RF_out[bn]) skip = 1'b1; else skip = 1'b0; else if (btfss) // btfss if (RF_out[bn]) skip = 1'b1; else skip = 1'b0; else if (incfsz) // incfsz if (out == 0) skip = 1'b1; else skip = 1'b0; else if (decfsz) // decfsz if (out == 0) skip = 1'b1; else skip = 1'b0; else skip = 1'b0; endmodule

Листинг 1. Код однотактового PIC-процессора, созданного с помощью методики ASMD-FSMD

Блок-схема ASMD для четырехтактового PIC-процессора показана на рис. 10. Она состоит из четырех блоков ASMD, которые соответствуют стадиям четырехтактового процессора. Блок E ASMD на рис. 10 повторяет блоксхему ASMD для однотактового PIC-процессора, из которой исключены команды чтения и записи памяти данных, реализуемые в блоке M. Код четырехтактового PIC-процессора, построенного по методике ASMD-FSMD, приведен в листинге 2. modulePIC_4_cycle_ASMD // описание параметров как в листинге 1 … // объявление портов как в листинге 1 … // объявление локального параметра N как в листинге 1 … // объявление переменных out, stack_in и stack_out как в листинге 1 … // объявление признаков команд как в листинге 1 … // дешифрация команды как в листинге 1 … // объявление промежуточных переменных skip, rstack, wstack // и c как в листинге 1 … // объявление сетей z, pz и ffc как в листинге 1 … reg [IADDR_WIDTH-1:0] PC_in; // вход счетчика команд PC regc_we, z_we; // разрешение записи c и z regPC_we, IR_we; // разрешение записи в регистры PC и IR wire [INSTR_WIDTH-1:0] Instr; // команда (инструкция) // регистр команд register_en#(INSTR_WIDTH) ex_IR(clk, reset, IR_we, Instr_in, Instr); // создание файла регистров

Рис. 10. Блок-схема ASMD четырехтактового PIC-процессора

reg [DATA_WIDTH-1:0] RF[REGFILE_DEPTH-1:0]; // Register File // создание экземпляра стека как в листинге 1 … // создание триггеров переноса и признака нуля как в листинге 1 … reg [DATA_WIDTH-1:0] W; // аккумулятор W // счетчик команд PC register_en #(IADDR_WIDTH) ex_PC(clk, reset, PC_we, PC_in, IAddr); // формирование признаков команд как в листинге 1 … assignData_Bus = W; // формирование шины данных assign z = ~|out; // признак нуля результата // вычисление флага skip как в листинге 1 … // логика определения адреса следующей команды как в листинге 1 … // реализация стадий процессора local param [1:0] s0=0, s1=1, s2=2, s3=3; reg [1:0] state; always @(posedgeclk) if(!reset) state <= s0; else case (state) s0: // Fetch — загрузка команды в регистр IR begin IR_we<= 1'b1; // разрешение записи в регистр IR c_we <= 1'b0; z_we <= 1'b0; //DM_we<= 1'b0;

www.kite.ru


26

ПЛИС

state<= s1; end s1: // Decode — дешифрация команды и адрес // следующей команды begin // выполняются автоматически с помощью // комбинационной схемы PC_we<= 1'b1; // запись в PC адреса следующей команды IR_we<= 1'b0; // для предотвращения чтения // следующей команды state<= s2; end s2: // Execute — выполнение команд begin PC_we<= 1'b0; // для предотвращения увеличения PC // команды пересылки данных if (movlw) // movlw W<= k1; elseif (movwf) // movwf RF[f] <= W; // чтение из и запись в памяти данных elseif (lw) // lw — чтение begin DAddr<= k1; // установка адреса W <= Read_Data; // чтение данных end elseif (sw) // sw — запись begin DAddr<= k1; // установка адреса Write_Data<= W; // установка данных // на шину Write_Data end else if (clrf) // clrf — обнуление RF RF[f] <= 0; else if (clrw) // clrw — обнуление W W <= 0; else if (bsf) // bsf — установка бита begin RF[f] <= RF[f] | ({{N–1{1'b0}},1'b1} <<bn); out<= RF[f] | ({{N–1{1'b0}},1'b1} <<bn); // для установки флага z end else if (bcf) // bcf — сброс бита begin RF[f] <= RF[f] & (~({{N–1{1'b0}},1'b1} <<bn)); out<= RF[f] & (~({{N–1{1'b0}},1'b1} <<bn)); // для установки флага z end else if (retlw) // retlw W<= k1; else if (movf) // movf — запись RF [f] // в W или RF [f] out<= RF[f]; // операции над байтами else if (addwf) // addwf {c,out} <= RF[f] + W; else if (andwf) // andwf out<= RF[f] & W; else if (comf) // comf out<= ~RF[f]; else if (decf) // decf {c,out} <= RF[f] – 1'b1; else if (incf) // incf {c,out} <= RF[f] + 1'b1; else if (iorwf) // iorwf out<= RF[f] | W; else if (nop) // nop ; else if (rlf) // rlf begin c <= RF[f][N-1]; out<= {RF[f][N–2:0],ffc}; end else if (rrf) // rrf begin c <= RF[f][0]; out<= {ffc,RF[f][N–1:1]}; end else if (subwf) // subwf {c,out} <= RF[f] – 1'b1; else if (swapf) // swapf out<= {RF[f][N/2–1:0],RF[f][N–1:N/2]}; else if (xorwf) // xorwf out<= RF[f] ^ W; // операции с константами else if (addlw) // addlw {c,out} <= W + k1; else if (andlw) // andlw out<= W & k1; else if (iorlw) // iorlw out<= W | k1; else if (sublw) // sublw {c,out} <= k1 – W;

else if (xorlw) // xorlw out<= k1 ^ W; // команды инкремента и декремента // с пропуском следующей команды else if (incfsz) // incfsz {c,out} <= RF[f] + 1'b1; else if (decfsz) // decfsz {c,out} <= RF[f] – 1'b1; state<= s3; end s3: // Memory — запись результата в память данных // DM, W и RF begin c_we<= 1'b1; // запись флагов z_we<= 1'b1; if (sw) DM_we<= 1'b1; // разрешение записи // в память данных DM else DM_we<= 1'b0; // запись результата в RF_in или W_in if (movf || addwf || andwf || comf || decf || incf || iorwf || rlf || rrf || subwf || swapf || xorwf || incfsz || decfsz) if (d) RF[f] <= out; else W <= out; // запись результата в W if (addlw || andlw || iorlw || sublw || xorlw) W <= out; state <= s0; end default: state <= s0; end case end module

Листинг 2. Код четырехтактового PIC-процессора, созданного с помощью методики ASMD-FSMD

Двухтактовый PIC-процессор по методике ASMD-FSMD строится путем объединения блоков F и D, а также E и M на рис. 10. Трехтактовый процессор можно построить либо объединением блоков F и D, либо объединением блоков E и M на рис. 10.

Экспериментальные исследования Для проверки эффективности рассмотренных методик проектирования встроенных процессоров были разработаны следующие проекты PIC-процессоров: • PIC_1_cycle_Tr, PIC_2_cycle_Tr, PIC_3_cycle_Tr, PIC_4_cycle_Tr — проекты одно-, двух-, трех- и четырехтактовых PIC-процессоров, построенные с помощью традиционного подхода; • PIC_2_cycle_FSM, PIC_3_cycle_FSM, PIC_4_cycle_FSM — проекты двух-, трехи четырехтактовых PIC-процессоров, построенные по методике FSM-single; • PIC_1_cycle_ASMD, PIC_2_cycle_ASMD, PIC_3_cycle_ASMD, PIC_4_cycle_ASMD — проекты одно-, двух-, трех- и четырехтактовых PIC-процессоров, построенные по методике ASMD-FSMD. Исследования эффективности рассмотренных методик проводились при реализации PIC-процессоров на FPGA семейства Cyclone IVE с помощью системы Quartus Prime Standard версии 21.1. Проекты PICпроцессоров исследовались при ширине данных N, равной 4, 8, 16, 32, 64 и 128 бит. Результаты исследований приведены в таблице 1, где LT, LF, LA — число используемых логических элементов FPGA (стоимость реализации) в случае традиционного подхода, а также при использовании методик FSM-single и ASMD-FSMD соответственно;

FT, FF, FA — максимальная частота синхронизации проектов при использовании различных методик; LF/LT, LA/LT, FF/FT и FA/FT — отношения соответствующих параметров. В таблице 1 принято следующее обозначение проектов: PIC_k_cycle_N, где k — число тактов процессора, N — ширина шины данных. Для удобства сравнения различных методик между собой в таблице 2 приведены среднеарифметические значения отношений соответствующих параметров. Из таблицы 2 видно, что традиционный подход немного (на 3–4%) превосходит методику FSM-single по стоимости реализации. Данный результат предсказуем, поскольку в структуру PIC-процессора добавляется конечный автомат FSM. Традиционный подход также превосходит методику FSM-single по быстродействию (на 19–21%). Это объясняется тем, что, кроме задержки сигналов в контроллере и операционном устройстве, добавляется задержка сигналов в конечном автомате FSM (рис. 6). Сравнение традиционного подхода с методикой ASMD-FSMD показывает, что традиционный подход превосходит методику ASMD-FSMD по стоимости реализации (на 9–15%), однако уступает ей по быстродействию, за исключением четырехтактовых процессоров. В отдельных случаях превосходство методики ASMD-FSMD по быстродействию над традиционным подходом достигает 40% (проект PIC_2_cycle_128). Однако главное преимущество методик FSM-single и ASMD-FSMD над традиционным подходом заключается в значительном сокращении времени разработки проектов. Чтобы оценить время проектирования при традиционном подходе и с использованием методик FSM-single и ASMD-FSMD, все проекты создавались одним разработчиком. Время в минутах, затраченное на разработку каждого проекта, приведено в таблице 3, где DTT — время разработки в случае использования традиционного подхода; DTF — время разработки в случае использования методики FSM-single; DTA — время разработки в случае использования методики ASMD-FSMD; DTT/DTF и DTT/DTA — отношение соответствующих параметров; mid — среднее значение. Анализ таблицы 3 показывает, что при использовании методики FSM-single время разработки проектов PIC-процессоров уменьшается в 7–8 раз. Такое большое сокращение времени проектирования объясняется тем, что для проектирования многотактовых процессоров по методике FSM-single используются устройство управления и операционное устройство однотактового процессора, а разрабатывается только простой конечный автомат и выполняется модификация операционного устройства. Отметим, что в таблице 3 не учитывается время, затраченное на разработку однотактового процессора с помощью традиционного подхода. Применение методики ASMD-FSMD позволяет уменьшить время разработки про-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


ПЛИС

Таблица 1. Результаты исследования методик проектирования PIC-процессоров на FPGA

27

Таблица 2. Среднеарифметические значения отношений параметров стоимости реализации и быстродействия процессоров

Процессор

LT

LF

LA

FT

FF

FA

LF/LT

LA/LT

FF/FT

FA/FT

Процессор

mid(LF/LT)

mid(LA/LT)

mid(FF/FT)

mid(FA/FT)

PIC_1_cycle_4

1002

1197

70,67

71,89

1,19

1,02

PIC_1_cycle

1,19

1,02

PIC_1_cycle_8

1653

1991

66,8

69,25

1,2

1,04

PIC_1_cycle_16

2978

3494

67,85

66,97

1,27

0,99

PIC_2_cycle

1,03

1,2

0,91

1,13

PIC_1_cycle_32

5561

6498

53,58

57,33

1,17

1,07

PIC_3_cycle

1,04

1,21

0,9

1,09

PIC_1_cycle_64

10 804

12 456

52,59

51,83

1,15

0,99

PIC_4_cycle

1,04

1,21

0,85

0,77

PIC_1_cycle_128 21 290

24 359

39,08

39,54

1,14

1,01

PIC_2_cycle_4

914

1011

1344

84,4

73,85

79,47

1,11

1,47

0,88

0,94

PIC_2_cycle_8

1571

1656

2143

79,79

65,52

73,03

1,05

1,36

0,82

0,92

PIC_2_cycle_16

2909

2940

3497

67,28

68,85

75,34

1,01

1,2

1,02

1,12

Таблица 3. Время разработки процессоров (в минутах)

PIC_2_cycle_32

5503

5593

6104

60,02

50,21

69,59

1,02

1,11

0,84

1,16

Процессор

DTT

DTF

DTA

DTT/DTF

DTT/DTA

PIC_2_cycle_64

10 706

10 787

11300

56,11

53,19

68,61

1,01

1,06

0,95

1,22

PIC_1_cycle

10 560

1440

7,33

PIC_2_cycle_128 21 202

21 262

21834

42,69

40,95

59,96

1

1,03

0,96

1,4

920

1015

1359

83,17

70,46

76,09

1,1

1,48

0,85

0,91

PIC_2_cycle

840

103

120

8,16

7

PIC_3_cycle_8

1571

1656

2160

76,9

65,79

74,43

1,05

1,37

0,86

0,97

PIC_3_cycle

6240

837

960

7,46

6,5

PIC_3_cycle_16

2870

2962

3502

74,58

68,03

71,41

1,03

1,22

0,91

0,96

PIC_4_cycle

980

135

150

7,26

6,53

7,66

6,84

PIC_3_cycle_4

PIC_3_cycle_32

5509

5602

6157

60,33

56,14

69,31

1,02

1,12

0,93

1,15

PIC_3_cycle_64

10 718

10 801

11 304

58,15

52,24

68,29

1,01

1,05

0,9

1,17

PIC_3_cycle_128 21 190 PIC_4_cycle_4

21 274

21 849

43,04

39,78

59,41

1

1,03

0,92

1,38

898

1002

1325

109,15

88,87

70,49

1,12

1,48

0,81

0,65 0,72

PIC_4_cycle_8

1577

16 832

2198

97,16

91,89

69,52

1,07

1,39

0,95

PIC_4_cycle_16

2897

2990

3477

97,42

81,56

67,82

1,03

1,2

0,84

0,7

PIC_4_cycle_32

5506

5601

6111

92,2

79,79

67,34

1,02

1,11

0,87

0,73

PIC_4_cycle_64

10 739

10 831

11 260

89,63

60,87

63,71

1,01

1,05

0,68

0,71

PIC_4_cycle_128 21 188

21 295

21 550

50,87

48,61

55,63

1,01

1,02

0,96

1,09

ектов PIC-процессоров в 6–7 раз. Значительное сокращение времени проектирования при использовании методики ASMD-FSMD объясняется тем, что отпадает необходимость в разработке и тестировании всех компонентов операционного устройства, устройства управления, а также модуля верхнего уровня. Проект процессора, построенного по методике ASMD-FSMD, состоит из одного модуля на языке Verilog, плюс модули элементов памяти. На основании проведенных исследований можно сделать следующие выводы: • если главным критерием оптимизации является стоимость реализации, то вне конкуренции традиционный подход; • если главным критерием оптимизации является быстродействие, то следует выбирать между традиционным подходом и методикой ASMD-FSMD; • если важно время разработки проекта и уже имеется проект однотактового процессора, построенного с помощью традиционного подхода, то многотактовые процессоры можно быстро разработать с помощью методики FSM-single; • если главным критерием является время разработки и отсутствует проект однотактового процессора, следует использовать методику ASMD-FSMD.

mid

Литература 1. Уилмсхерст Т. Разработка встроенных систем с помощью микроконтроллеров PIC. Принципы и практические примеры. Пер. с англ. Киев: МК-Пресс; СПб.: КОРОНА-ВЕК, 2008. 2. Соловьев В. В. Проектирование функциональных блоков встраиваемых систем на FPGA. М.: Горячая линия – Телеком. 2020. 3. Harris S. L., Harris D. Digital design and computer architecture: arm edition. Morgan Kaufmann, 2013. 4. Li Y. et al. Computer principles and design in Verilog HDL. John Wiley & Sons, 2015. 5. Соловьев В. В. Использование методики ASMD-FSMD при проектировании на программируемых логических интегральных схемах устройств обработки сигналов // Радиотехника и электроника. 2021. Т. 66. № 12.

На примере PIC-процессоров представлены две новые методики FSM-single и ASMD-FSMD разработки встроенных процессоров на FPGA, которые позволяют значительно сократить время проектирования. Рассмотренные методики сравнивались с традиционным подходом к проектированию процессоров. Показано, что методика ASMD-FSMD, кроме сокращения времени разработки, позволяет также увеличить быстродействие процессора, в отдельных случаях на 40%. Данные методики могут быть использованы для проектирования процессоров не только на FPGA, но и на заказных микросхемах ASIC. В представленных методиках рассматривается язык описания аппаратуры Verilog. Но методики FSM-single и ASMD-FSMD могут также применяться и в случае использования языка VHDL или других языков описания аппаратуры. Работа выполнена при частичной финансовой поддержке Белостокского технологического университета (Белосток, Польша, грант WZ/WI-IIT/4/2020). n

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

Реклама

Заключение

www.kite.ru


Реклама

Реклама

28

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


пассивные элементы

компоненты

29

Пассивные компоненты AC/DC-источников питания

Стив Робертс (Steve Roberts) Перевод и комментарии: Владимир Рентюк

Вниманию читателей предлагается глава из книги “AC/DC Book of Knowledge Practical tips for the User” [1] («Книга знаний AC/DC — практические советы для пользователей») Стива Робертса1, технического директора компании Recom. Первая статья серии затрагивала историю переменного тока и его базовые принципы [2]. В следующей публикации [3] рассматривались линейные AC/DC-источники питания и отдельные вопросы теории переменного тока, которые необходимы для проектирования. В этой части речь пойдет о пассивных компонентах, широко применяемых в AC/DC-источниках питания.

Конденсаторы Часто срок службы источника питания определяется выбором конденсаторов. Но когда конденсатор емкостью 100 мкФ уже не является таковым? «Вопрос, конечно, интересный…», как говорил автор знаменитой в СССР телевизионной передачи «Очевидное — невероятное», название которой как нельзя лучше подходит к теме этой статьи. Конденсаторы играют важную роль в источниках питания переменного тока. Это связано с тем, что входное напряжение AC/DC-преобразователя падает до нуля дважды за каждый период изменения напряжения. Но, как уже обсуждалось ранее в [3], для поддержания работы источника питания, как правило, требуется элемент накопления энергии. Для накопления энергии у нас имеются катушки индуктивности и конденсаторы. Но только конденсаторы подходят для хранения постоянного напряжения в форме электрического поля между его электродами. Кроме того, конденсаторы — основные компоненты фильтра, необходимого для защиты от электромагнитных помех и перенапряжения. С этой целью они устанавливаются между линейными входами и между линией и «землей». В таком случае они классифицируются как компоненты, критически важные для безопасности. Конденсаторы класса X и Y В сетевых фильтрах сети переменного тока обычно установлены керамические дисковые или металлопленочные конденсаторы.

Рис. 1. Типовой керамический дисковый конденсатор

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

Такие конденсаторы называются «конденсатор для цепей переменного тока» (a.c. capacitor), согласно определению (здесь и далее), данному в стандарте IEC 60384-14 (на территории Российской Федерации в этом направлении действует стандарт ГОСТ IEC 60384-14-2015 [4], идентичный IEC 60384-14:2013), — это конденсатор, специально предназначенный для использования при напряжениях промышленной частоты. Оба типа конденсаторов симметричны и одинаково хорошо работают с любой полярностью напряжения. Керамический дисковый конденсатор состоит из двух металлических электродов, разделенных керамической диэлектрической подложкой. Это дает очень стабильное значение емкости в широком диапазоне рабочих температур, но ограниченную емкость в диапазоне от пикофарад до десятков нанофарад. Для увеличения изоляции может использоваться несколько слоев керамики, поэтому доступны конденсаторы, выдерживающие напряжения в диапазоне до 15 кВ. В части конструктивного исполнения, кроме конденсаторов с выводами для монтажа в отверстия THM (through-hole mounting), имеются их SMD (Surface Montage Device) версии, предназначенные для монтажа на поверхность. Типичный керамический конденсатор с высоким рабочим напряжением показан на рис. 1. Здесь необходимо отметить, что для относительно низковольтных приложений разработаны многослойные керамические конденсаторы MLCC (Multilayer Ceramic Capacitor). Емкость таких конденсаторов может достигать десятков микрофарад, почти все они выпускаются в SMD-исполнении [14], но не применяются при рабочих напряжениях выше 200 В и не используются по ряду причин в сетевых фильтрах сети напряжения переменного тока. О причинах речь пойдет далее в статье. В металлизированных пленочных конденсаторах предусмотрены многослойные изоляторы из пластиковой пленки, которые с одной или обеих сторон покрыты металлизацией для формирования электродов. В некоторых типах конденсаторов в качестве обкладок по-прежнему используется металлическая фольга. В качестве изоляции можно применять пропитанную маслом бумагу и множество различных пластиковых пленок. В настоящее время наибольшее распространение получили такие изоляторы, как политетрафторэтилен (ПТФЭ), полипропилен и полиэстер (полиэтиленгликольтерефталат, ПЭТФ, ПЭТ, ПЭТГ, с торговыми названиями лавсан, майлар и т. п.). Поскольку слои могут чередоваться, возможны и высокие значения емкости (от нанофарад до десятков микрофарад). Но при высоком но1

Публикация переводов из книги [1] осуществляется с эксклюзивного разрешения, предоставленного переводчику ее автором — Стивом Робертсом (Steve Roberts M.Sc. B.Sc.), техническим директором компании Recom.

www.kite.ru


30

компоненты

пассивные элементы

Рис. 2. Конструкция пленочного конденсатора на примере конденсатора компании Kemet

минальном напряжении и при высоких значениях емкости такие конденсаторы могут быть очень громоздкими. Пленочные конденсаторы также имеют низкие значения эквивалентного последовательного сопротивления и индуктивности ESR и ESL [3], что делает их подходящими для некоторых типов демпфирующих устройств и фильтров. В отличие керамических конденсаторов пленочные конденсаторы почти всегда выполняются для монтажа в сквозные отверстия. Типовая конструкция металлопленочного конденсатора представлена на рис. 2. В цепях переменного тока мы имеем дело с тремя основными типами конденсаторов по их использованию: • Помехоподавляющий конденсатор (electromagnetic interference suppression capacitor). Согласно определению, это конденсатор для цепей переменного тока, используемый для снижения уровня радиопомех от электрической или электронной аппаратуры либо от других источников электромагнитного излучения. • Конденсатор класса X, или X‑конденсатор (capacitor of Class X). Согласно определению, это конденсатор, используемый в тех ситуациях, когда отказ обычного конденсатора хотя и не создает опасности поражения электрическим током, но может привести к опасности возгорания. • Конденсатор класса Y, или Y‑конденсатор (capacitor of Class Y). Согласно определению, конденсатор, используемый в тех ситуациях, когда отказ обычного конденсатора или RC-звена способен привести к возникновению опасности поражения электрическим током. Кроме того, имеются развязывающие, проходные конденсаторы, конденсаторно-резистивные и конденсаторные блоки и прочие (подробно рассмотрено в [4]), но нас будут интересовать «чистые» X‑ и Y‑конденсаторы. Название «X‑конденсатор» происходит от английского across the line, их также называют межфазными. X‑конденсаторы предусмотрены для минимизации электромагнитных помех, которые могут быть вызваны дифференциальным шумом в AC-источнике. X‑конденсаторы устанавливают между фазным и нейтральным проводниками для снижения влияния от наведенных помех, скачков напряжения и переходных процессов. Но при работе X‑конденсаторы подвержены всем влияниям от сети, что может создать опасную ситуацию, если превышены пороговые значения. X‑конденсаторы конструируются таким образом, чтобы при перенапряжениях и выходе из строя образовывать короткое замыкание для срабатывания входного автомата или предохранителя. Однако этот конденсатор значительно увеличивает риск возгорания, если не установлена защита от сверхтоков.

Y‑конденсаторы в англоязычной литературе называют line to ground (линия-«земля» для источника питания класса I), или линии через изолирующий барьер (источники питания класса II), или через изолирующий барьер от первичной к вторичной стороне. Название этих конденсаторов тоже связано с их подключением — обычно Y‑конденсаторы устанавливают между линиями AC-источника и «землей» для снижения синфазных ЭМП. Подключение напоминает букву Y, тем более что некоторые их этих конденсаторов выполняются трехвыводным блоком. Y‑конденсаторы так же, как и X‑конденсаторы, подвержены влиянию наведенных помех от сети, перенапряжений и переходных процессов, что при превышении пороговых значений может вызывать опасные ситуации и выход конденсатора из строя. Поэтому Y‑конденсаторы конструируются специальным образом, и в отличие от X‑конденсаторов их выход из строя приводит к разрыву в цепи. При этом на вход попадает неотфильтрованное сетевое напряжение, однако снижается риск возгорания. Для многих приложений двойное короткое замыкание не должно вызывать неприемлемую опасность, поэтому для медицинских и бытовых применений два конденсатора Y‑класса подключаются последовательно через изолирующий барьер или между линией и «землей»/нулевым потенциалом. Вы можете задаться вопросом: как можно спроектировать режим отказа конденсатора? Ответ — в его внутренней конструкции. Если дуга возникает из-за перенапряжения или механического отказа, такого как точечное отверстие в изоляции, то обкладки X‑конденсатора плавятся вместе, закорачивая электроды, в то время как конденсатор класса Y имеет более тонкие обкладки, которые будут выгорать локально и нарушать проводящий путь. Таким образом, они считаются самовосстанавливающимися. Y‑конденсатор можно использовать вместо X‑конденсатора, но никак не наоборот. Однако существуют так называемые предохранительные конденсаторы, рассчитанные на применение в качестве X‑ и Y‑конденсатора. Маркировка на таких конденсаторах будет указывать соответствующие номинальные напряжения для каждого варианта их применения. X‑ и Y‑конденсаторы классифицируются в соответствии с их пиковым или номинальным рабочим напряжением и стойкостью к перенапряжению, как это определено в стандарте IEC 60384-14. Их классификация (в упрощенной форме) приведена в таблицах 1 и 2 соответственно. При разработке AC/DC-источников питания для их реализации на территории Российской Федерации обратитесь к [4].

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


пассивные элементы

Таблица 1. Классификация конденсаторов класса X Класс

Пиковое импульсное напряжение

Способность выдерживать перенапряжение

X1

≤4 кВ (DC)

4 кВ для C ≤1 мкФ или 4/√C кВ для C > мкФ

X2

≤2,5 кВ (DC)

2,5 кВ для C ≤1 мкФ или 4/√C кВ для C > мкФ

X3

≤1,2 кВ (DC)

Не предусматривается

Таблица 2. Классификация конденсаторов класса Y Класс

Пиковое импульсное напряжение

Способность выдерживать перенапряжение

Y1 Y2 Y3 Y4

≤500 В (AC) ≤300 В (AC) ≤250 В (AC) ≤150 В (AC)

8 кВ 5 кВ Не предусматривается 2,5 кВ

Пленочные конденсаторы тоже используются в настроенных фильтрах и резонансных схемах, фазовращателях и схемах коррекции коэффициента мощности. По своей сути низкая паразитная индуктивность и низкое ESR делают частотную характеристику таких конденсаторов очень стабильной, а низкие допуски от номинального значения емкости и отклонение их емкости от фактической остаются линейными в широком диапазоне рабочих температур, что упрощает решение задач, стоящих перед инженером-разработчиком. Электролитические конденсаторы Как уже упоминалось, кроме фильтрации основная функция конденсаторов — накапливать и отдавать энергию. История электролитических конденсаторов началась с открытия в 1896 году принципа их работы, сделанного «польским Эдисоном» Каролем Поллаком (Karol Pollak), а первый патент на электролитический конденсатор на основе алюминиевой фольги и тетрабората натрия был получен уже в 1897 году. Но свое наиболее полное развитие электролитические конденсаторы получили уже в XX веке, с появлением сначала радиотехнической, а потом и электронной отраслей промышленности, для которых они стали незаменимым и широко используемым компонентом. В AC/DC-преобразователях для этой цели в качестве накопительных конденсаторов практически всегда применяются алюминиевые электролитические конденсаторы. Это связано с их высокой объемной емкостью, высокими рабочими напряжениями и способностью выдерживать довольно-таки высокие температуры и, конечно же, из-за их низкой стоимости за одну микрофараду. Внутренняя конструкция электролитического конденсатора (здесь и далее мы рассматриваем алюминиевые электролитические конденсаторы, хотя есть танталовые, ниобиевые и полимерные) аналогична рассмотренному выше металлопленочному конденсатору, за исключением жидкого или твердого (полимерного) диэлектрика, поэтому они имеют много общего с конструкцией аккумуляторных элементов. Например, су-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

перконденсаторы — это конденсаторы низкого напряжения с очень высокой емкостью (несколько фарад), которые представляют собой переходный вариант между перезаряжаемой батареей и конденсатором [15]. Наиболее распространенным электролитическим конденсатором является конденсатор алюминиевого типа, в котором в качестве жидкого электролита между электродами из фольги, имеющими протравленную поверхность, предусмотрен оксид алюминия (Al2O3), увеличивающий их эффективную площадь поверхности. Это позволяет получить продукт с высокой удельной объемной и номинальной емкостью при низком ESR, что важно для емкостных накопительных конденсаторов. Типовая конструкция алюминиевого электролитического конденсатора показана на рис. 3. Обратной стороной является то, что такие конденсаторы поляризованы и способны работать на постоянном токе с определенным, зависящим от частоты процентом переменной составляющей. Поэтому их можно использовать только после стадии выпрямления, учитывая, что они могут взорваться, если электролит перегреется и начнет испаряться (некоторые конденсаторы даже имеют специальный клапан для выпуска пара). Ну, этим нас, разработчиков блоков питания,

компоненты

31

не удивишь, видели и не раз, тот, у кого этого на практике не было, пусть первым бросит в меня камень. Вернемся к вопросу, который был поставлен в начале этой главы, а именно: когда электролитический конденсатор емкостью 100 мкФ с низким ESR уже не является конденсатором емкостью 100 мкФ с низким ESR? Ответ на него следующий: при работе с высокочастотной пульсацией постоянного тока. Когда частота превышает 1 кГц, эффективная емкость электролитического конденсатора уменьшается. Если конденсатор используется для сглаживания выпрямленной частоты сети, то можно с уверенностью использовать значение емкости из таблицы электрических характеристик его спецификации. Однако если конденсатор применяется в схеме корректора коэффициента мощности (ККМ), работающей на более высокой частоте переключения (обычно 100 кГц), то конденсатор с рабочим напряжением 450 В емкостью 100 мкФ будет фактически действовать как конденсатор емкостью 60 мкФ. Пример зависимости емкости от частоты приведен на рис. 4. Второй вариант ответа на этот вопрос: при температуре окружающей среды, а по факту его собственной температуре, отличающейся от +25 °C. При низких температурах жидкий

Рис. 3. Конструкция и варианты исполнения алюминиевых электролитических конденсаторов на примере продукции компании Jianghai Europe Electronic Components

www.kite.ru


32

компоненты

пассивные элементы

электролит становится вязким и менее токопроводящим, поэтому ESR увеличивается, а емкость уменьшается. При высоких температурах окружающей среды конденсатор расширяется, эффективно уменьшая расстояние между обкладками, кроме того, возрастает подвижность ионов, а значит, емкость конденсатора увеличивается. Конденсатор 100 мкФ при температуре –40 °C будет конденсатором емкостью 62 мкФ и конденсатором емкостью 110 мкФ при +105 °C. Это явление характерно для конденсаторов с жидким электролитом, полимерные (твердотельные) электролитические конденсаторы свободны от данной зависимости. Зависимости емкости электролитического конденсатора и его ESR от температуры показаны на рис. 5. Для того чтобы продолжить рассмотрение темы, обратимся к эквивалентной схеме реального электролитического конденсатора, показанной на рис. 6, где: • ESL — это эквивалентная последовательная индуктивность (Equivalent series inductance), образована индуктивностью намотки обкладок и исполнением выводов конденсатора. • RLeakage — сопротивление, определяющее ток утечки и характеризующее качество и состояние диэлектрического слоя. • С — непосредственно емкость конденсатора. • ESR — эквивалентное последовательное сопротивление (Equivalent series resistance), которое равно сумме трех сопротивлений:

R0+Rd +Re,

(1)

где R0 — это омическое сопротивление выводов и конденсаторной пленки соединений, оно считается постоянным и выбирается примерно равным 10 мОм; Rd — это зависящее от частоты сопротивление диэлектрического слоя:

Rd = Dox /(2πfC),

(2)

где Dox — это фактор (или коэффициент) диэлектрических потерь; f — частота, на которой необходимо определить значение Rd; С — фактическая емкость конденсатора. Наличие потерь, зависящих от частоты, обусловлено присутствием тонкого слоя диэлектрика между двумя обкладками. Эти потери особенно значимы для высоковольтных электролитических конденсаторов, в которых для обеспечения изоляции имеется толстый слой диэлектрика. Чаще для Rd используется другое представление:

Rd(f) = tgd/(2πfС),

(3)

где tgd — потери; f — частота; С — фактическая, а не номинальная, емкость конденсатора; Re — это зависящее от температуры сопротивление раствора электролита в комбинации с сепаратором, может быть оценено по реальной внутренней температуре конденсатора относительно его известного значения при температуре +25 °C по формуле [9]: B

Re(T) = Re (+25 °C)2–[(T–25)/A] .

(4)

Рис. 4. Зависимость емкости электролитического конденсатора от частоты

В состав этой формулы входят два параметра A и B, согласно [9] их типовые значения для электролитических конденсаторов с электролитами на основе этиленгликоля составляют соответственно 40 и 0,6. Комбинация первых двух факторов в формуле (1) (омическое R0 и частотно-зависимое сопротивление Rd с коэффициентом диэлектрических потерь) дает синюю линию на приведенной на рис. 5 диаграмме, которая также будет изменяться с температурой в соответствии с третьим фактором Re (2). Эквивалентная последовательная индуктивность ESL тоже будет изменяться, но данный эффект незначителен, и его обычно можно игнорировать. Еще раз вернемся к нашему вопросу о старении конденсатора и дадим на него ответ: по мере старения электролитического конденсатора жидкий электролит высыхает, ESR и эквивалентная последовательная индуктивность ESL увеличиваются, а емкость уменьшается. Под концом срока службы электролитического конденсатора понимается ситуация, когда ESR, ESL, рост тока утечки из-за уменьшения RLeakage или емкость выходят за указанные в спецификации пределы или приемлемые пределы, установленные разработчиком для конкретного приложения, что остается на его совести. Это не означает, что конденсатор немедленно выйдет из строя, но более высокая рассеиваемая мощность будет постепенно увеличивать его внутреннюю температуру до тех пор, пока печальный конец не станет неизбежным. Если говорить в целом, важным показателем надежности конденсатора выступает тангенс угла диэлектрических потерь tgd. Типичное изменение значения tgd в зависимости от времени наработки электролитического конденсатора показано на рис. 7. На рис. 7 видно, что примерно после 6800 ч работы типичный конденсатор емкостью 100 мкФ, работающий на предельных значениях, превратится в конденсатор емкостью 75 мкФ, а отношение ESR/ESL (то есть tgd) увеличится в 3,5 раза. Принимая во внимание эти эффекты старения электролитических конденсаторов, жизненно важно

Рис. 5. Зависимости емкости алюминиевого электролитического конденсатора с жидким электролитом и его ESR от температуры

Рис. 6. Эквивалентная схема реального электролитического конденсатора

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


пассивные элементы

компоненты

33

мого, повышение внутренней температуры составляет не более +5 °C и коэффициент безопасности выбран равным 2, то коэффициент увеличения срока службы KR составляет 1,3); KV = (VA/VR)–n — коэффициент напряжения (где VA — рабочее напряжение; VR — номинальное напряжение; n — показатель степени, который может быть равен: n = 2,5 при отношении VA к VR более 0,5, n = 5 при отношении VA к VR более 0, например, если рабочее напряжение составляет 0,9 номинального напряжения, то n = 5 и коэффициент увеличения срока службы KV равен 1,7). Таким образом, расчетный срок службы с учетом всех множителей срока службы составляет:

L = 7000321,30,6 = 174 000 ч (то есть почти 20 лет). Рис. 7. Значения tgd в зависимости от времени наработки электролитического конденсатора и определение конечного срока службы

для увеличения срока службы обеспечить их конструктивную надежность за счет снижения жесткости рабочих условий. Несмотря на приведенные выше графики, можно легко продлить срок службы электролитического конденсатора до 20 лет при условии, что он не подвергается перенапряжению. Расчет срока службы электролитических конденсаторов Расчет надежности электролитических конденсаторов довольнотаки непростая задача, для решения которой имеется и используется несколько подходов, например описанные в [5–9]. Одни из них основаны только на классической теории надежности, другие учитывают область применения и особенности эксплуатации. Значение среднего времени безотказной работы MTBF (mean time between failures — среднее время между отказами) может вызвать большую путаницу, потому что они часто неправильны, а иногда и сознательно искажены недобросовестными производителями. Время наработки на отказ, равное 1 млн ч, не означает, что продукт имеет срок службы 114 лет. Однако, несмотря на явную неоднозначность, оценка надежности важна и пускать все на самотек не стоит. В основе всех методик определения срока службы лежит уравнение Аррениуса, описывающее изменение скорости химической реакции от температуры, с теми или иными уточняющими коэффициентами. Далее будет рассмотрен вариант оценки надежности используемых в AC/DC-источниках питания электролитических конденсаторов, предложенный автором книги [1], с сохранением для удобства читателей принятых в [1] буквенных обозначений. В техническом паспорте (спецификации типа datasheet) производителя электролитического конденсатора будет указан срок службы в условиях максимальной нагрузки (максимальное напряжение и температура). Поэтому любое снижение рабочего напряжения увеличит срок службы в соответствии с различными, учитывающими степень нагрузки конденсатора коэффициентами:

L = L0KT KR KV,

(5)

где L — срок службы, ч; L0 — срок службы, указанный в паспорте на изделие, при максимальном токе пульсации, предельной рабочей температуре и максимально допустимом напряжении; KT = 2(T –T )/10 — температурный коэффициент (где T0 — максимально допустимая температура; TA — температура в конкретном приложении, например, если температура T0 составляет +105 °C, а температура TA составляет +70 °C, то коэффициент KT для определения срока службы конденсатора получается равным 11,3); KR = Ki1– (I /I ) (DT /10) — коэффициент учета пульсирующего тока (где IA — ток пульсаций в конкретном приложении; IR — максимальный предел пульсаций; ∆T0 — повышение внутренней температуры; Ki — эмпирический коэффициент безопасности (технологический запас), который в зависимости от тех или иных соображений выбирают в диапазоне 2–4, например, если ток пульсации равен половине максимально допусти0

A

A R

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

2

0

Чтобы упростить расчеты срока службы электролитических конденсаторов, компания RECOM на своем сайте предлагает онлайнкалькулятор. С его помощью полезно поэкспериментировать с данными оценки срока службы. Это позволит увидеть, как на срок службы могут повлиять даже небольшие изменения условий эксплуатации. Для примера, приведенного выше, мы, в частности, имеем:

L = L0KTKRKV = 700011,31,31,6 = 20 лет. Изменение максимального напряжения с 90% номинального на 80% увеличивает срок службы почти до 36 лет. Изменение максимальной температуры окружающей среды с +70 до +85 °C сокращает срок службы с 20 до 7 лет. Изменение пульсационного тока с 50% номинального до 60% снижает срок службы всего на 6% (с 174 до 167,5 ч), но изменение его на 100% номинального тока приводит к сокращению срока службы почти на 4 года. Выбор компонентов часто становится компромиссом между электрическими характеристиками и стоимостью, поэтому оптимальную выгоду по соотношению цена/технические характеристики можно получить только при тщательном подходе к проектированию. А теперь спустимся с небес на землю. Вы реально поверите в срок службы электролитического конденсатора в 20 лет, не говоря уже о 36? Вот и автор перевода о том же. Почти все подходы к определению надежности учитывают коэффициенты нагрузки и тепловые режимы, но не все учитывают влияние области применения, естественного старения и деградацию материалов, из которых компонент изготовлен. Хотя для оценки надежности методы искусственного старения используются. Основой методики должна быть эксплуатационная надежность, и показатель наработки на отказ должен быть скорректирован для условий применения элементов, в числе которых берется в расчет не только температура и коэффициенты нагрузки, но и условия эксплуатации и класс или тип аппаратуры/оборудования. Одним из самых ранних системных подходов к надежности был справочник армии США “Military Handbook. Reliability Prediction of Electronic Equipment” («Военный справочник. Прогнозирование надежности электронного оборудования»), известный как стандарт MIL-HDBK‑217. Он состоял из обширной базы данных по отказам различных компонентов и основан на эмпирическом анализе большого числа эксплуатационных отказов электрооборудования, электронных и электромеханических компонентов, осуществленном Мэрилендским университетом. Справочник постоянно обновлялся и совершенствовался вплоть до 1995 года, затем его окончательный вариант был назван «MIL-HDBK‑217. Редакция F с Примечанием 2» [10]. Поскольку время накопления таких данных сейчас превышает время морального старения компонента, их централизованный сбор был прекращен и в настоящее время стандарт больше не обновляется. Но приведенные в нем данные и методики до сих пор не утратили своей актуальности и могут использоваться на практике. Что касается изложения проблем оценки надежности и более подходящих к ее определению подходов, здесь лучше обратиться к первой книге автора [9]. www.kite.ru


34

компоненты

пассивные элементы

Определение тока пульсаций Однако вернемся к формуле (4), приняв ее как данность. Наиболее значимым фактором здесь выступает температура — внешняя и внутренняя. Генератором внутреннего тепла является эквивалентное последовательное сопротивление, на нем при наличии тока пульсаций рассеивается мощность и в соответствии с законом Джоуля — Ленца выделяется тепло. Увеличение ESR приводит к повышению рассеиваемой мощности конденсатором, повышению его внутренней температуры, что вызывает рост ESR и в итоге деградацию. Но тут есть проблема: на практике часто бывает очень сложно определить ток пульсации конденсатора. Добавление даже шунтирующего резистора 10 мОм для измерения тока, если ESR конденсаторов также составляет около 10 мОм, может серьезно повлиять на результат измерения. Альтернативный метод — косвенно измерить пульсирующий ток на основе повышения температуры и учета объемной теплопроводности конденсатора. Этот метод был предложен компанией Jianghai [11], рассмотрен автором перевода в [5] и также предлагается автором в [1]. Тепло, генерируемое внутри конденсатора, может рассеиваться в окружающую среду за счет излучения, конвекции или теплопроводности (рис. 8). Излучение черного тела лишь незначительно влияет на общие тепловые характеристики конденсатора при атмосферном давлении на уровне моря, и в большинстве практических случаев им можно пренебречь. Охлаждение с помощью естественной конвекции зависит от площади поверхности и разницы температур окружающей среды. Кондуктивное охлаждение осуществляется через контакты в печатной плате, которая затем действует как радиатор с большой плоской поверхностью, передавая тепло в окружающую среду посредством конвекции. Из-за конструкции типичного электролитического конденсатора тепловой путь между слоями фольгированного электрода и соединительными контактами не идеален, и кондуктивное охлаждение от внутренней обоймы конденсатора через контакты также можно в значительной степени игнорировать. Таким образом, конвекционное охлаждение остается основным механизмом отвода тепла. Шаг 1. Рассчитайте площадь свободной поверхности Цилиндр имеет площадь боковой поверхности 2πrh, где r — радиус, h — высота цилиндра. Верхняя часть цилиндра имеет площадь πr 2. Вместе они дают площадь открытой поверхности как:

SAcap = (p(D/2)2+pDh)/100,

(6)

где SAcap — площадь поверхности конденсатора, см2; D — диаметр, мм; h — высота, мм. Шаг 2. Рассчитайте внутреннюю рассеиваемую мощность Пульсации тока рассеивают энергию на эквивалентном последовательном сопротивлении конденсатора ESR. Это значение можно найти в спецификации. Рассеиваемая энергия вызовет повышение температуры обоймы конденсатора:

Pdiss = 1000FeKSBSAcapTr4ise,

(7)

где Pdiss — мощность, рассеиваемая в обойме конденсатора, мВт; Fe — коэффициент теплового излучения (обычно 0,95 для свободной конвекции воздуха); KSB — постоянная Больцмана (5,5610–8 Вт·м2·K); Trise — разница температур между окружающей средой и температурой, верхняя центральная точка конденсатора. Ток пульсаций, вызывающий это повышение температуры, равен:

Iripple(A) = √Pdiss /1000ESR.

(8)

Практический совет. Во введении к этому разделу было сказано, что теплопередачу от конденсатора через контакты к печатной плате можно в значительной степени игнорировать. Это справедливо для передачи тепла от сердечника конденсатора к медным дорожкам пе‑

Рис. 8. Пути отвода тепла от цилиндрического электролитического конденсатора на печатной плате

чатной платы, поскольку тепловое сопротивление от сердечника через контакты к печатной плате значительно выше, чем непосредствен‑ но от сердечника к корпусу конденсатора. Однако если есть внешний источник тепла, например силовой диод, расположенный рядом с кон‑ денсатором, то медные дорожки печатной платы с низким тепловым сопротивлением могут передавать достаточно тепла обратно в кон‑ денсатор. Причем настолько, чтобы значительно увеличить тепловую нагрузку внутри конденсатора и сократить срок его службы. Еще одним «неожиданным» источником внутреннего тепловыделения могут быть переменные внешние магнитные поля. И электролитические, и метал‑ лопленочные конденсаторы часто размещают очень близко к катушкам индуктивности, дросселям и трансформаторам. Любые паразитные магнитные поля переменного тока могут генерировать вихревые токи в металлизированных проводниках или в фольге, увеличивая температу‑ ру сердечника и сокращая срок службы конденсатора. Тема конденсаторов бесконечна, но поскольку задача объять необъятное не ставилась, на этом мы завершим ее рассмотрение. Много дополнительной информации вы найдете по предложенным ранее ссылкам.

Индуктивные компоненты: синфазный дроссель В этой части статьи рассмотрение индуктивных компонентов будет ограничено синфазным дросселем — важнейшим элементом фильтрации ЭМП. Остальные компоненты — катушки индуктивности, дроссели и трансформаторы — будут рассмотрены при описании решений с их использованием. Катушки индуктивности, как можно видеть из их эквивалентной схемы, представленной на рис. 9, кроме собственно индуктивности, характеризуются максимальным непрерывным среднеквадратичным током IRMS, и максимальным пиковым током ISAT, вызывающим насыщение сердечника. Ток IRMS обычно определяется как ток, вызывающий повышение внутренней температуры на +40 °C. Повышение температуры влияет на свойства сердечника. Росту температуры способствуют потери меди в обмотках, определяемые как DCRIR2MS, и потери в магнитном сердечнике, которые зависят от частоты и рабочего цикла (скважности). В конфигурации входного фильтра на основе синфазного дросселя (CMC) магнитный поток от двух обмоток компенсируется, что делает показатель ISAT в значительной степени несущественным. Если катушка индуктивности используется в качестве синфазного дросселя по входу AC/DC-источника питания, то, поскольку две обмотки несут на себе полное входное напряжение электросети, нам важно знать ее номинальное рабочее напряжение. Номинальное

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


пассивные элементы

Рис. 9. Эквивалентная схема катушки индуктивности

Шаг 4. Определите максимальный переменный ток Максимальный входной ток возникает при полной нагрузке с минимальным входным напряжением. Если минимальное входное напряжение равно 115 В, то, учитывая запас в 10%, мы принимаем Vin_min равным 103 В. Тогда входной ток будет равен:

(P/h)/Vin_min = (100/0,85)/103 = 1,14 А.

Рис. 10. Тороидальный синфазный дроссель с изоляцией и разделителем

гармонике 5f_0, то есть от 225 кГц и выше. Если предположить, что на диодном мосту падение напряжения 1 В, то ЭМП на частоте преобразования будет иметь амплитуду:

(9)

где SRF — собственная резонансная частота при условии, что значение DCR является низким, а RMAG, наоборот, достаточно высоким. Пример расчета фильтра ЭМП на основе синфазного дросселя Технические характеристики AC/DC-источника питания на основе обратноходового преобразователя: • мощность: P = 100 Вт; • входное напряжение: Vin = 115–230 В; • рабочая частота преобразования: f = 45 кГц; • КПД: h = 85%. Шаг 1. Определите вероятный уровень ЭМП Обратноходовой преобразователь действует на частоте 45 кГц с рабочим циклом 50% при полной нагрузке. Это приведет к возникновению пика основного шума на частоте 45 кГц с гармониками на более высоких частотных интервалах nf_0, где n = 1, 3, 5 и т. д. с уменьшением их уровня на –20 дБ·мкВ/дек. Частоты ниже 150 кГц игнорируются промышленными стандартами ЭМС [12], поэтому нам нужно беспокоиться только о пятой

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

35

Рис. 11. Схема фильтра подавления ЭМП на основе синфазного дросселя

напряжение должно быть достаточным во всем диапазоне рабочих температур. Часто во избежание пробоя, чтобы гарантировать путь утечки и зазоры, необходимо изолировать проводящий ферритовый сердечник и установить разделитель между двумя обмотками, как это показано на рис. 10. Импеданс синфазного дросселя увеличивается с повышением частоты, пока не достигает пика на собственной резонансной частоте (self-resonant frequency, SRF), затем он уменьшается из-за эффекта межобмоточной емкости. Значение SRF, для обеспечения наибольшего затухания, следует выбирать так, чтобы оно было близким к частоте максимальных помех. Обычно помеха — это рабочая частота AC/DC-преобразователя или ее гармоники. Не путать с частотой электросети! При необходимости SRF может быть рассчитана или уточнена по фактическим параметрам с помощью формулы:

SRF = 1/(2p√LCWI),

компоненты

A0 = 20log(1 В/1 мкВ) = 120 дБ·мкВ. (10) А пятая гармоника соответственно будет иметь амплитуду:

An=5 = 20log(4/5π1 мкВ) = = 108 дБ·мкВ.

(11)

Шаг 2. Определите затухание фильтра Требуемое затухание равно амплитуде ЭМП (первая нечетная гармоника выше 150 кГц) минус предел, установленный стандартом EN55011 (полная информация в [13]), равный 65 дБ·мкВ, плюс технологический запас в 3 дБ. В нашем примере затухание A на пятой гармонике должно составлять:

108–65+3 = 46 дБ·мкВ. Шаг 3. Найдите частоту среза фильтра Фильтр должен ослаблять помехи от пятой гармоники на частоте 225 кГц с ослаблением фильтра второго порядка — 40 дБ/дек. Это дает нам частоту среза:

fc = fn=410–A/40 = 22510–46/40 = 16 кГц.

Шаг 5. Финальная стадия разработки фильтра ЭМП на основе синфазного дросселя Топология фильтра показана на рис. 11. Для завершения создания фильтра необходимо выбрать подходящий синфазный дроссель и X‑ и Y‑конденсаторы. Поскольку приложение представляет собой универсальный сетевой фильтр, дроссель должен быть рассчитан на работу с напряжением 250 В переменного тока. Как было определено ранее, нам необходим дроссель с рабочим током 1,14 А или выше, а фильтр должен иметь пиковое затухание, близкое к частоте 225 кГц. Например, мы могли бы выбрать дроссель 5 мГн, рассчитанный на 250 В переменного тока, с номинальным рабочим током 2 А при температуре среды +40 °C и высоким затуханием синфазного сигнала с пиком около 0,2 МГц. Это было бы просто идеально. Индуктивность рассеяния синфазного дросселя, которая в обычных условиях является паразитной и нежелательной, составляет 1% от его номинальной, то есть примерно 47 мкГн. Но в нашем случае она сыграет положительную роль и вместе с X‑конденсатором даст нам фильтр для дифференциального сигнала, а Y‑конденсаторы с индуктивностью синфазного режима создают фильтр для синфазного сигнала, так что мы убьем сразу двух зайцев:

CX = 1/(8π2Lstrayfc 2) = = 1/(8π247162) = 1 мкФ, CY = 1/(8π2Lcmfc 2) = = 1/(8π25162) = 10 нФ. www.kite.ru


компоненты

36

пассивные элементы

Следует подчеркнуть, что приведенного выше расчета недостаточно для 100%-ной гарантии успешного прохождения испытаний на ЭМС. Это всего лишь первый шаг, позволяющий провести пробную проверку. Практический совет. Для того чтобы сохранить емкость Х‑конденсатора в разумных пределах, часто бывает довольно сложно найти синфазный дроссель с достаточной паразитной индуктив‑ ностью рассеяния. В таком случае целесообразно дополнительно ис‑ пользовать дроссель дифференциального режима, соединенный после‑ довательно с дросселем синфазного режима, либо гибридный дроссель, который объединяет в одном корпусе элементы подавления нежела‑ тельных дифференциальных и синфазных сигналов. Именно к такому решению, например, пришел и автор перевода при проектировании 300‑Вт AC/DC-источника питания для оборудования базовой станции беспроводной связи. Необходимо учитывать, что при самостоятельном изготовлении синфазного дросселя вы можете наткнуться на подводные камни, которые приведут к непрогнозируемым, случайным отклонениям в части обеспечения требований по ЭМС, причину которых вам будет сложно обнаружить. Для того чтобы понять причины и избежать ошибок, следует обратиться к [13]. Также для блоков питания большой мощности можно столкнуться с взаимным влиянием компонентов. Для этого при проектировании используются даже экзотические, как для электротехники, методики [16]. Кроме того, при проектировании входного фильтра и выборе конденсаторов не забываем про допустимые токи утечки и про то, что при некоторых типах испытаний конденсаторы необходимо отключать. В следующей части этого цикла статей будут рассмотрены важные для AC/DC-источников питания активные компоненты. n

Литература 1. Roberts S. M.Sc. B.Sc. AC/DC BOOK OF KNOWLEDGE Practical tips for the User. RECOM Engineering GmbH & Co. KG. Austria, 2018. www.recom-power.com/ ru/support/resource-library/book-of-knowledge/book-of-knowledge.html?1 2. Робертс С. Переменный ток — начало начал // Компоненты и технологии. 2021. № 11. 3. Робертс С. Линейные AC/DC-источники питания, полная, реактивная и активная мощность и немного теории // Силовая электроника. 2021. № 6.

новости

4. ГОСТ IEC 60384-14-2015. Межгосударственный стандарт. «Конденсаторы постоянной емкости для электронной аппаратуры. Часть 14. Групповые технические условия: конденсаторы постоянной емкости для подавления радиопомех и подключения к питающей магистрали». www.docs.cntd.ru/document/1200124966 5. Рентюк В. Алюминиевые электролитические конденсаторы, или Еще раз про надежность // Силовая электроника. 2018. № 3. 6. Радюшкин О. Методы оценки срока эксплуатации электролитических конденсаторов // Силовая электроника. 2010. № 5. 7. Рентюк В. Зависимость времени наработки на отказ электролитических конденсаторов от реальных условий их эксплуатации // Компоненты и технологии. 2014. № 7. 8. Рентюк В. Проблема оптимального выбора комбинации входных и выходных конденсаторов для подавления пульсаций и помех DC/DC-преобразователей. Часть 2 // Компоненты и технологии. 2016. № 12. 9. Roberts S. M.Sc. B.Sc. DC/DC BOOK OF KNOWLEDGE Practical tips for the User. Second Edition RECOM Engineering GmbH & Co. KG. Austria, 2015. www.recom-power.com/ru/support/resource-library/book-of-knowledge/ book-of-knowledge.html?1 10. Military Handbook Reliability Prediction of Electronic Equipment MIL-HDBK‑217F. www.everyspec.com/MIL-HDBK/MIL-HDBK‑0200-0299/ MIL-HDBK‑217F_14591/ 11. Albertsen A. Dr. Electrolytic Capacitor Lifetime Estimation. Jianghai Europe Electronic Components GmbH, 2012. www.jianghai-europe.com/ wp-content/uploads/1‑Jianghai-Europe-E‑Cap-Lifetime-Estimation-longAAL‑2016-02-25.pdf 12. Рентюк В. Что нужно знать об испытаниях на выполнение требований по ЭМС для изделий коммерческого назначения. Сб. «Электромагнитная совместимость в электронике», 2018. 13. Рентюк В. Синфазные дроссели TDK-EPCOS как решение проблемы… синфазных дросселей // Компоненты и технологии. 2020. № 1. 14. Рентюк В. Многослойные керамические конденсаторы — решения от компаний VISHAY и EPCOS. Сб. «Электромагнитная совместимость в электронике», 2019. 15. Рентюк В. Суперконденсаторы Murata: большая емкость при малых габаритах // Компоненты и технологии. 2015. № 10. 16. Манушин И., Рентюк В. Модельно-ориентированная разработка эффективных фильтров подавления ЭМП для преобразователей большой мощности в CST STUDIO SUITE // Компоненты и технологии. 2018. № 1.

рынок

OCS начинает продвижение решений НТЦ «Модуль» Партнерскому каналу OCS Distribution теперь доступна широкая линейка решений научно-технического центра «Модуль» — одного из крупнейших отечественных дизайн-центров встраиваемой аппаратуры и микроэлектроники. Компания уже более 30 лет работает на рынке наукоемких технологий, занимаясь разработкой и производством аппаратно-программных решений в области нейронных сетей и аппаратуры управления и контроля для авиационных и космических систем. Продукция НТЦ «Модуль» находит применение в различных областях: от робототехники, космической отрасли, производства и навигации до медицины, образования, банковского сектора, сферы ретейла и многих других. Портфель OCS пополнят процессоры цифровой обработки сигналов, микрокомпьютеры, спецвычислители и нейроускорители, навигационные модули, универсальные процессоры, операционные усилители и программноаппаратные комплекты НТЦ «Модуль», в том числе и ряд флагманских продуктов компании. Так, для заказа будет доступен микрокомпьютер МВ77.07. Это одноплатное устройство широкого назначения, оснащенное высокопроизводительным процессором обработки сигналов NeuroMatrix и процессорным ядром ARM1176. Микрокомпьютер подходит для использования в различных системах управления, охранных системах видеонаблюдения, а также применяется

в учебных целях. Помимо этого, партнеры смогут приобрести программноаппаратный комплекс NeuroMatrix Deep Learning (NMDL) для разработки и реализации глубоких нейронных сетей, модуль NM Card для решения задач реализации нейронных сетей и цифровой обработки сигналов и изображений, универсальный 32‑разрядный процессор СБИС188048, применяемый во встраиваемой аппаратуре. Линейка решений НТЦ «Модуль» подходит для выполнения различных задач: • цифровой обработки текстовых данных аудио- и видеофайлов; • реализации искусственных обученных нейронных сетей; • построения высокоточной навигационной аппаратуры потребителей (НАП); • реализации standalone-устройств. Продукция компании будет в равной степени интересна как разработчикам конечного ПО и аппаратных решений, так и интеграторам, сервисным компаниям и конечным заказчикам. Консультационную и техническую поддержку НТЦ «Модуль» осуществляет департамент компонентов и ретейл-продуктов OCS. Развитая партнерская сеть, отлаженная система логистики и многочисленные маркетинговые активности дистрибьютора предоставят вендору возможность увеличить присутствие на рынке и расширить клиентскую базу. www.ocs.ru КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


Реклама


38

компоненты

интерфейсы

Почему 10BASE-T является недостающим каналом Ethernet для автомобильной связи Фионн ХЁрли (Fionn Hurley) fionn.hurley@analog.com Перевод: Евгений Ивашенко eugeny.ivashenko@eltech.msk.ru

Современные тренды и новые возможности В настоящее время автомобильная промышленность переживает один из своих самых революционных периодов. Производителям автомобилей необходимо быстро предоставить решения для нескольких преобладающих трендов, таких как персонализация, электрификация, автономность и связь. Изготовителям оборудования потребуется радикально изменить электронную архитектуру своих устройств для поддержки новой функциональности. Хотя эта революция и становится серьезной технической проблемой, она также предоставляет производителям оборудования возможность рассмотреть переход электронных архитектур от решений на основе доменов, которые превратились в громоздкие системы из-за непрерывных дополнений платформ, продолжающихся на протяжении поколений. Благодаря значительному изменению архитектуры OEM-производители могут сосредоточиться на обеспечении хорошего технического решения, а также на добавлении новых

Сегодня продолжают появляться новые стандарты IEEE для автомобильной сети Ethernet, одним из последних стал 10BASE-T1S. В этой статье рассматриваются тенденции в автомобильной промышленности, диктующие изменения в электрической/электронной архитектуре транспортных средств (E/E), и то, как новый стандарт 10BASE-T1S поддерживает и обеспечивает внедрение этой новой архитектуры.

потоков доходов после продажи за счет таких функций, как персонализация, продажа услуг и обновление по воздуху (OTA) для автотранспорта. Отрасль тяготеет к общей новой архитектуре, часто называемой зональной архитектурой, и стремится использовать технологии и уроки других отраслей, в первую очередь ИТ-сектора, что делает автомобиль, по сути, компьютером на колесах. Зональные архитектуры (рис. 1) определяют связь по физическому местоположению, а не по функциям, как это было в архитектурах, основанных на домене. Такие изменения значительно сокращают количество электронных блоков управления (ECU) в автотранспорте и уменьшают общую длину кабелей на 1 км. Во‑вторых, они отделяют аппаратное и программное обеспечение, обеспечивая архитектуру, ориентированную на обслуживание (SOA). Многие производители оборудования вкладывают значительные средства в создание собственного программного обеспечения с целью предоставления комплексного решения, которое упрощает интеграцию платформ и обеспечивает более широкие функциональные воз-

можности [2]. Этот масштабируемый подход к программной платформе сведет к минимуму варианты, откроет возможности для новых потоков доходов, приведет к снижению инвестиций в НИОКР в долгосрочной перспективе и сократит время разработки при поддержке нескольких автомобильных линий. Такие революционные изменения в архитектуре привели к тому, что многие производители оборудования полностью перестроили организацию процесса, отказавшись от отдельных групп для выполнения только определенных функций домена и перейдя к более интегрированной кросс-функциональной организации производства. Автомобильная промышленность быстро становится крупным потребителем устройств Ethernet, и широкое внедрение Ethernet в транспорте рассматривается как один из ключевых факторов успешного внедрения этих новых архитектур. Ethernet обеспечивает необходимую масштабируемость и несколько уровней скорости, является проверенным надежным средством передачи данных, поддерживает архитектуру

Рис. 1. Автомобильные зональные архитектуры

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


интерфейсы

Рис. 2. Топология шины 10BASE-T1S

на основе услуг и имеет блоки безопасности и защиты. У Ethernet имеется четко определенная и хорошо понятная модель OSI, которая упрощает управление всей комплексной автомобильной сетью.

Уникальные аспекты автомобилестроения В то время как многие фундаментальные концепции Ethernet могут быть заимствованы из других отраслей промышленности, автомобильная электронная архитектура имеет уникальные требования, которые диктуют необходимость новых технологических разработок. Одним из ключевых направлений для автомобильной промышленности является снижение веса транспортного средства, что оказывает непосредственное влияние на его пробег без дозаправки. Жгут проводов, используемый сегодня, становится одной из трех самых тяжелых подсистем в автомобиле (весом до 60 кг) [3]. Традиционные кабели Ethernet используют четыре дифференциальные пары для передачи данных, увеличивая вес и сложность маршрутизации, что далеко не оптимально для автомобильных приложений. Для решения этой проблемы были разработаны новые стандарты IEEE для поддержки передачи Ethernet по кабелям с одной витой парой, что в сочетании с уменьшенной длиной жгута проводов, обеспечиваемой зональной архитектурой, может значительно сэкономить кабель и снизить вес.

Что движет потребностью в 10BASE-T? По мере развития концепции зональных архитектур стало очевидно, что для полного использования преимуществ новой архитектуры понадобится подключение Ethernet вплоть до периферийных датчиков и исполнительных механизмов. Существующие устаревшие технологии подключения, такие как FlexRay и CAN, могут потребовать преобразования протоколов, обычно реализуемых в шлюзах, что способно увеличить стоимость, сложность и задержку распространения сигнала. Существующие автомобильные технологии Ethernet, в частности 100BASE-T1, не покрывали системные затра-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

ты на подключение оконечных приложений к Ethernet, поскольку эта технология требовала использования подключения «точкаточка». Результатом стал призыв IEEE проявить интерес к решению этой проблемы. Вот некоторые из основных ее требований [4]: • Более быстрая связь, чем существующие технологии; например, CAN (FD). • Замена устаревших сетевых технологий в автомобиле, таких как FlexRay. • Альтернатива 100BASE-T1 для ECU, где 100BASE-T1 не является экономически и энергоэффективным. • Возможность поддержки подключения для простых и резервных сетей датчиков.

Что такое 10BASE-T1S? Спецификация 10BASE-T1S разработана в рамках стандарта IEEE 802.3cg, опубликованного в феврале 2020 года. 10BASE-T1S обеспечивает недостающее звено в экосистеме автомобильных Ethernet, предусматривая прямое подключение оконечных устройств к Ethernet и удовлетворяя требованиям зональной архитектуры. Следует отметить один весьма интересный, уникальный аспект 10BASE-T1S (рис. 2), отличающий его от других автомобильных технологий Ethernet, — это поддержка многоточечной топологии, когда все узлы подключены по одному и тому же неэкранированному кабелю витой пары. Эта реализация шины обеспечивает оптимизированную спецификацию, требующую только одного Ethernet-интерфейса в каждом узле, устраняя необходимость в реализации топологии коммутатора или звезды, связанной с другими технологиями Ethernet. Стандарт определяет, что должно поддерживаться не менее восьми узлов (можно поддерживать гораздо больше) и обеспечиваться длина шины до 25 м. Еще одно нововведение стандарта — предотвращение коллизий на физическом уровне (PLCA), которое, как следует из названия, позволяет избежать коллизий в общей сети. Эта реализация обеспечивает детерминированную максимальную задержку, определяемую главным образом количеством узлов в сети и объемом передаваемых данных. Если у узла в конкретный момент нет данных для передачи, он передает очередь следую-

компоненты

39

щему узлу, тем самым поддерживая очень высокую степень использования доступных 10 Мбит/с. Питание по сети 10BASE-T1S также возможно, учитывая, что это система со связью по переменному току. Таким образом, обеспечивается дополнительная экономия кабелей, сокращаются размеры разъемов и повышается надежность за счет уменьшения сложности кабелей и разъемов. Стандартизация питания по линиям передачи данных (PoDL), уже доступная для двухточечных реализаций, продолжается в рамках усовершенствования стандартов IEEE для поддержки многоточечной топологии. Области применения 10BASE-T1S в автомобилестроении широки и разнообразны, в них используется множество датчиков и исполнительных механизмов для различных функций в области комфорта, информационно-развлекательных систем и систем помощи водителю (ADAS), которые обсуждаются в настоящее время.

Заключение Автомобильная электронная архитектура претерпевает революционные изменения. Переход к зональным E/E‑архитектурам неизбежен. 10BASE-T1S обеспечивает недостающее звено для поддержки этого перехода с оптимизированным подключением Ethernet к оконечным устройствам. В этом процессе все еще есть препятствия, которые необходимо преодолеть, — например, представление о том, что подключение к Ethernet увеличивает стоимость компонентов и сложность реализации модулей. 10BASE-T1S напрямую решает подобные проблемы, предоставляя сниженную стоимость системы и разнообразные варианты продуктов, которые поддерживают различные виды разделения цепочки сигналов. Компания Analog Devices активно участвует в подготовке вывода на рынок 10BASE-T1S, принимает активное участие в мероприятиях по стандартизации и тесно сотрудничает с производителями для обеспечения соответствия их системным требованиям. Для того чтобы получить подробную информацию о 10BASE-T1S и о том, как планируется помочь внедрению 10BASE-T1S в автомобильных приложениях, можно связаться с компанией Analog Devices. n

Литература 1. w w w . l i n k e d i n . c o m / p o s t s / c a r i a d - t e c h _ wearecariad-activity‑6796394317935075328‑Ryaf 2. Fletcher R. The Case for an End-To-End Automotive-Software Platform. McKinsey & Company, January 2020. 3. Scott D. Wiring Harness Development in Today’s Automotive World. Siemens, July 2020. 4. 10Mb/s Single Twisted Pair Ethernet Call for Interest. IEEE 802.3 Ethernet Working Group.

www.kite.ru


на правах рекламы

40

Микросхемы быстродействующих приемопередатчиков интерфейса LVDS 5560ИН7У и 5560ИН8У Таблица 1. Электрические параметры микросхем при приемке и поставке (при UCC = 3–3,6 B) Наименование параметра, единица измерения, режим измерения

Ток потребления, мА

IСС1

передатчик разрешен, приемник выключен, нагрузка передатчика RL = 100 Ом

IСС2

16

UIH

2

UCC

Входное напряжение низкого уровня на входах управления, В

UIL

0

0,8

UOL

0,4

UOH

2,4

25 ±10, –60, +125

Электрические параметры приемника Выходное напряжение низкого уровня при IOL = 8 мА, В Выходное напряжение высокого уровня, В Входной ток по входам A или B, мкА

при IOH = –8 мА при IOH = –4 мА при UI = 0

II

при UI = 2,4 В

2,8

|–2|

|–20|

|–1,2|

25 ±10, –60, +125

Электрические параметры передатчика UOD

Выходное напряжение смещения относительно общего вывода при RL = 49,9 Ом, В

|±247| |±454|

±UOD

–50

50

UOC

1,125

1,375

Разность выходных напряжений смещения относительно общего вывода при RL = 49,9 Ом, мВ

±UOC

–50

50

Размах выходного напряжения смещения относительно общего вывода при переключении при RL = 49,9 Ом, CL = 10 пФ, мВ

UOC PP

150

Время задержки распространения при включении (выключении) при CL = 10 пФ, RL = 100 Ом, нс

tPHLD (tPLHD)

4,5

Таблица 2. Таблица истинности передатчика Входы

Микросхемы 5560ИН7У и 5560ИН8У являются стойкими к воздействию специальных факторов 7.И, 7.С и 7.К по ГОСТ РВ 20.39.414.2 с характеристиками 7.И 1 — 4Ус, 7.И 6 — 35Ус, 7.И 7 — 74Ус, 7.С 1 — 4Ус, 7.С 4 — 34Ус, 7.К 1 — 0,32К, 7.К 4 — 0,31К, 7.К9(7.К10) — является нечувствительной по ОРЭ отказов (ТЭ и КО), 7.К11(7.К12) — не менее 60 МэВ·см2/мг. Планируемый срок начала освоения в серийном производстве микросхем приемопередатчиков 5560ИН7У и 5560ИН8У — первый квартал 2022 года. n

20

Входное напряжение высокого уровня на входах управления, В

Разность выходных дифференциальных напряжений при RL = 100 Ом, мВ

Рис. 2. Схема электрическая структурная микросхемы 5560ИН8У

не не менее более

активный режим, приемник не нагружен, нагрузка передатчика RL = 100 Ом

Выходное дифференциальное напряжение при RL = 100 Ом, мВ

Рис. 1. Схема электрическая структурная микросхемы 5560ИН7У

Норма параметра

Температура среды, °С

Буквенное обозначение параметра

М

икросхемы 5560ИН7У и 5560ИН8У категории качества «ВП» — низковольтные быстродействующие приемопередатчики интерфейса LVDS с напряжением питания 3,3 В для применения в аппаратуре специального назначения. Микросхема 5560ИН7У содержит два передатчика с входом разрешения высоким уровнем напряжения и два приемника с входом разрешения низким уровнем напряжения по стандарту LVDS. Микросхема 5560ИН8У имеет два передатчика с входом разрешения высоким уровнем напряжения и два приемника со встроенными терминальными резисторами с входом разрешения низким уровнем напряжения по стандарту LVDS. Микросхемы 5560ИН7У и 5560ИН8У изготавливаются в малогабаритных металлокерамических корпусах типа МК 5119.16‑А. Функциональными аналогами микросхем являются соответственно микросхемы SN65LVDS050 и SN65LVDТ050 компании Texas Instruments. Технические условия — АЕЯР.431200.765-08 ТУ.

25 ±10, –60, +125

Таблица 3. Таблица истинности приемника Входы

Выходы

Выход

D

DE

Y

Y

UID = A–B

RE

R

H

H

Н

L

UID ≥ +100 мB

L

H

L

H

L

Н

–100 мB ≤ UID ≤ +100 мB

L

*

L

L

Открыт

H

L

Н

UID ≤ –100 мB Открыт

L

H

X

L

Z

Z

X

H

Z

Примечания. Н — высокий уровень напряжения; L — низкий уровень напряжения; X — низкий или высокий уровень напряжения; Z — выход в состоянии «Выключено»; * — неопределенное состояние.

ОАО «ИНТЕГРАЛ» — управляющая компания холдинга «ИНТЕГРАЛ» г. Минск, Республика Беларусь Тел.: (+375 17) 238–97–43. E‑mail: ATitov@integral.by. Сайт: integral.by

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


Реклама


компоненты

42

АЦП/ЦАП

Гальваническая изоляция для АЦП последовательного приближения

Уилфрид Платзер (Wilfried Platzer) Перевод: Михаил Русских tau68@rambler.ru

АЦП последовательного приближения традиционно использовались там, где нужны невысокие частоты дискретизации и высокое разрешение. В настоящее время можно найти быстрые и прецизионные 20‑битные АЦП последовательного приближения со скоростью преобразования 1 MSPS, например LTC2378-20, и 32‑битные АЦП последовательного приближения с передискретизацией, такие как LTC2500-32.

П

ри проектировании высококачественной системы, в которой предполагается использование максимальных рабочих характеристик АЦП, необходимо, чтобы во всей сигнальной цепи поддерживался очень низкий уровень шума. В случае если для сигнальной цепи требуется дополнительная изоляция [1], рабочие характеристики могут измениться. При организации гальванической изоляции следует решить три задачи: • Гальваническая изоляция питания для обеспечения питания устройства с высоким потенциалом. • Гальваническая изоляция линий данных для обеспечения изоляции информационных каналов. • Гальваническая изоляция линий передачи тактовых сигналов для АЦП (частоты дискретизации или сигналов преобразования) в случае, если тактовая частота не генерируется в цепи с более высоким потенциалом.

образователи имеют только один встроенный ключ, который может стать основным источником шума, влияющим на рабочие характеристики сигнальной цепи. При проектировании высококачественной аналоговой схемы следует учитывать электромагнитное излучение (ЭМИ) обратноходового преобразователя, способное ухудшить рабочие характеристики вашей схемы. На рис. 2 показан график тока в обмотках трансформатора L1 и L2. В первичной (L1) и вторичной (L2) обмотках токи скачкообразно изменяются от высоких значений до нуля за короткий промежуток времени. Всплески тока можно увидеть на графиках I(L1)/I(L2), представленных на рис. 3. Ток и энергия накапливаются в первичной обмотке и, когда ключ разомкнут, передаются во вторичную обмотку, что приводит к возникновению переходных процессов. Шумы переключения этих переходных процессов необходимо уменьшить, в связи с чем в схему следует добавить снабберы и фильтры. Помимо необходимости в использовании дополнительных фильтров, еще одним недостатком топологии обратноходового преобразования является низкая степень применения магнитного материала, из-за чего возникает потребность в применении более крупных трансформаторов, поскольку нужно обеспечить более высокие индуктивности. Кроме того, «горячие петли» обратноходового преобразователя довольно велики, и ими нелегко управлять. Для получения дополнительной информации о «горячих петлях» следует ознакомиться с указаниями по применению AN139. Другая сложность применения обратноходового преобразователя связана с изменением частоты переключения. На рис. 3 показано изменение частоты в зависимости от изменения нагрузки. Как видно на рис. 3a, t1 < t2, то есть частота переключения fSWITCH будет

Гальваническая изоляция цепей питания (сравнение топологии обратноходового преобразователя и топологии двухтактного преобразователя) Для гальванической изоляции линий питания широко используются обратноходовые преобразователи. На рис. 1 показано, насколько простой может быть схема обратноходового преобразователя. Преимущество данной топологии в том, что для работы схемы требуется лишь несколько внешних компонентов. Обратноходовые пре-

Рис. 1. Типичная топология обратноходового преобразователя

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


АЦП/ЦАП

компоненты

43

Рис. 2. Коммутационные токи LT8301 в обмотках трансформатора

Рис. 3. Изменение частоты: а) LT8301; б) с выделенной временной областью изменения частоты в промежутке 2,13–2,23 мс

Рис. 4. LT3999 с последующим стабилизатором, характеризующимся сверхмалым уровнем шума

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

www.kite.ru


компоненты

44

АЦП/ЦАП

Рис. 5. Сигналы тока LT3999

Рис. 6. LT3999 и взаимосвязь моментов переключения с сигналами линии синхронизации

изменена, когда ток нагрузки уменьшится от более высокого значения I1 до более низкого значения I2. Вследствие изменений частоты может появляться внутренний шум в произвольные моменты времени. Кроме того, частоты различных микросхем одного наименования могут быть разными, что затрудняет фильтрацию этих частот, поскольку для каждой отдельной печатной платы придется индивидуально настраивать цепи фильтрации. В случае использования 20‑битного АЦП последовательного приближения с полным диапазоном входного напряжения 5 В один младший бит будет соответствовать напряжению примерно 5 мкВ. Погрешности, вносимые шумом, вызванным электромагнитными помехами, должны быть ниже 5 мкВ, из-за чего можно прийти к выводу, что не следует выбирать топологию обратноходового преобразователя при организации гальванической изоляции питания в прецизионных системах. Существуют и другие обеспечивающие гальваническую изоляцию архитектуры питания, которые характеризуются более низким уровнем электромагнитного излучения. Двухтактные преобразователи являются более подходящими решениями по сравнению с обратноходовыми преобразователями в тех случаях, когда необходимо минимизировать шумы, появляющиеся из-за электромагнитного излучения. Двухтактный стабилизатор, такой как LT3999, обеспечивает возможность синхронизации тактовой частоты с АЦП и помогает достичь высоких рабочих характеристик. На рис. 4 показана схема изолированной цепи питания с установленным LT3999, при этом в цепи обеспечивается синхронизация тактовой частоты дискретизации АЦП. Помните, что конденсатор, установленный между первичной и вторичной обмоткой, создает обратный путь для прохождения шумов переключения, что позволяет устранить синфазный шум. Конденсатор может быть реализован в виде области печатной платы с перекрытием слоев первичной и вторичной цепи и/или в виде реального конденсатора.

На рис. 5 показаны сигналы тока в трансформаторе (как в первичной, так и во вторичной обмотке), что дает лучшее понимание в вопросах использования трансформатора и позволяет минимизировать электромагнитные помехи. На рис. 6 показана синхронизация с внешним тактовым сигналом. Конец фазы сбора данных совпадает с положительным фронтом сигнала на линии синхронизации. В результате время покоя будет довольно долгим и составит примерно 4 мкс. Это позволит преобразователю производить выборку входного сигнала в течение данного периода времени, что также позволит минимизировать переходные процессы, возникающие в изолированных цепях питания. LTC2378-20 имеет время сбора данных 312 нс — это оптимальный показатель при времени покоя <1 мкс.

Гальваническая изоляция линий передачи данных Гальваническую изоляцию линий передачи данных можно организовать с помощью цифровых изоляторов — например, изоляторов из семейства ADuMx. Эти цифровые изоляторы могут применяться для гальванической изоляции многих стандартных интерфейсов, таких как SPI, I2C, CAN и т. д. Так, ADuM140 может использоваться для гальванической изоляции сигналов интерфейса SPI. Чтобы обеспечить изоляцию сигналов данных интерфейса SPI, их просто необходимо подключить к изолятору. При такой гальванической развязке линий данных электрическая энергия передается от первичной цепи во вторичную цепь через индуктивный изолирующий барьер. В данном случае необходимо добавить и обратный путь прохождения тока, что реализуется с помощью конденсатора. Такой конденсатор может быть реализован в виде области печатной платы с перекрытием слоев первичной и вторичной цепей.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


АЦП/ЦАП

компоненты

45

Гальваническая изоляция линий передачи тактовых сигналов Гальваническая изоляция линий передачи тактовых сигналов представляет собой еще одну важную задачу. Если вы будете использовать высококачественный АЦП с разрешением 20 бит и частотой дискретизации 1 МГц, такой как LTC2378-20, то сможете добиться отношения сигнал/шум (ОСШ) 104 дБ. Для достижения столь высоких характеристик требуется передача тактовой частоты без джиттера (дрожания фазы). Почему бы в таком случае не использовать стандартный изолятор, например изолятор из серии ADuM14x? Увы, стандартный изолятор ограничивает рабочие характеристики АЦП, поскольку вносит в тактовую частоту джиттер. Более подробную информацию можно найти в статье по проектированию DN1013 [2]. На рис. 7 показан теоретический предел отношения сигнал/шум в зависимости от частоты при различных джиттерах тактовой частоты. Высококачественные АЦП, в частности LTC2378, имеют джиттер апертурной тактовой частоты, равный 4 пс, что обеспечивает теоретический предел в 106 дБ при частоте входного сигнала 200 кГц.

Рис. 8. Гальваническая развязка линий передачи тактовых сигналов с помощью стандартного изолятора

Рис. 9. Гальваническая изоляция линий передачи тактовых сигналов с помощью изолятора LVDS-интерфейса

Рис. 7. Джиттер тактовой частоты в зависимости от рабочих характеристик АЦП

К особенностям стандартных изоляторов линий передачи тактовых сигналов, которые могут использоваться в случае, представленном на рис. 8, относятся: • У качественного стандартного цифрового изолятора, например ADuM250N, джиттер составляет 70 пс с. к. з. Достижение целевого показателя отношения сигнал/шум в 100 дБ затрудняется тем, что частота дискретизации сигнала ограничена 20 кГц из-за джиттера тактовой частоты. • Изолятор, оптимизированный для гальванической развязки линий передачи тактовых сигналов, такой как LTM2893, обеспечивает снижение джиттера на 30 пс с. к. з. Частота дискретизации сигнала теперь составляет 50 кГц, что дает большую полосу пропускания для достижения целевого показателя отношения сигнал/шум в 100 дБ. Рассмотрим рис. 9: при более высоких частотах входного сигнала следует использовать изоляторы LVDS-интерфейса. ADN4654 обеспечивает джиттер 2,6 пс, что позволяет достичь лучших характеристик АЦП. Максимальное значение отношения сигнал/шум при джиттере тактовой частоты на входе, равной 100 кГц, будет составлять 110 дБ. На рис. 10 показано использование ФАПЧ для минимизации джиттера тактовой частоты. ADF4360-9 может поспособствовать в минимизации такого джиттера. Более подробная блок-схема минимизации джиттера тактовой частоты с помощью ФАПЧ показана на рис. 11. Для этой задачи можно использовать ADF4360-9 и добавить на выходе делитель на 2. Максимальная частота AD7760 составляет 1,1 МГц.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

Рис. 10. Гальваническая изоляция линий передачи тактовых сигналов с помощью дополнительного синтезатора ФАПЧ для минимизации джиттера тактовой частоты

Таким образом, АЦП последовательного приближения со скоростью преобразования 1 MSPS, в том числе LTC2378 (рис. 12), в данном случае не подойдет для непосредственного использования. Здесь нам поможет триггер с низким уровнем джиттера. Он будет делить тактовую частоту на 2. На рис. 13 локальная (местная) генерация тактовой частоты представляет собой еще один вариант получения тактовой частоты с требуемым джиттером. Локальная генерация тактовой частоты усложняет архитектуру подсистемы синхронизации, поскольку изза нее вводятся в систему области асинхронной тактовой частоты. Например, если вы хотите использовать два отдельных изолированных АЦП, тактовые частоты будут отличаться по абсолютной велиwww.kite.ru


компоненты

46

АЦП/ЦАП

Тактирование для высококачественных SD-АЦП Аналогичные сложности, связанные с тактовой частотой, встречаются и в случаях использования высококачественных SD-АЦП, таких как AD7760. Здесь важным тактовым сигналом является тактовая частота передискретизации без джиттера, например частота 40 МГц. В этом случае не нужны никакие дополнительные делители.

Заключение Рис. 11. Использование ADF4360-9 для минимизации джиттера тактовой частоты

Рис. 12. Использование триггера с целью уменьшения тактовой частоты для LTC2378

Для гальванической изоляции высококачественных АЦП требуется тщательно продумать схемотехнику развязки цепей и осуществить выбор среди различных методов изоляции, чтобы достичь отношения сигнал/шум выше 100 дБ. Особое внимание следует уделять гальванической изоляции линий передачи тактовых сигналов, поскольку влияние джиттера тактовой частоты может ухудшить рабочие характеристики. Следует также позаботиться об изоляции линий питания. Простые топологии гальванической изоляции, например топология обратноходового преобразователя, приводят к появлению переходных процессов, создающих значительные электромагнитные помехи. Для повышения рабочих характеристик следует использовать двухтактный преобразователь. Гальваническая изоляция линий передачи данных является еще одной задачей, хотя и менее важной, поскольку доступные стандартные устройства обеспечивают оптимальные рабочие характеристики и меньше влияют на работу системы. Решив эти три задачи по организации гальванической изоляции, разработчик сможет создать высококачественную изолированную систему. n

Литература Рис. 13. Генерация тактовой частоты в изолированной цепи с более высоким напряжением

чине, и в результате придется добавить цепь преобразования частоты дискретизации, чтобы снова согласовать тактовые частоты.

новости

Некоторые подробности о преобразовании частоты дискретизации можно найти в рекомендациях «Инженер инженеру» EE‑268 [3].

1. www.analog.com/en/analog-dialogue/raqs/raqissue‑189.html 2. www.analog.com/media/en/reference-designdocumentation/design-notes/dn1013f.pdf 3. w w w . a n a l o g . c o m / m e d i a / e n / t e c h n i c a l documentation/application-notes/EE268v01.pdf

рынок

ООО «Галфинд» — официальный дистрибьютор микропроцессоров и вычислительной техники «Эльбрус» На конференции Elbrus Tech Day, состоявшейся 13 декабря, ООО «Галфинд» стало официальным дистрибьютором АО «МЦСТ» — отечественного разработчика и производителя. В линейке продукции — вычислительные комплексы и микро-

процессоры «Эльбрус», персональные компьютеры и серверы, системы хранения данных. Руководство компании рассказало о перспективах продукции «Эльбрус», раскрыло информацию о партнерской политике МЦСТ, а также о плани-

руемых изменениях в нормативной базе, связанных с поддержкой российских микропроцессоров и оборудования на их основе, которые вступят в силу в 2022–2023 годах. www.halfwind.org

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


Реклама


48

компоненты

радиационно стойкие

Радиационно стойкие модули DC/DC-преобразователей напряжения для систем электроснабжения с постоянным напряжением

Виктор Безродный

Введение Уменьшить массогабаритные показатели космических аппаратов и обеспечить более высокую эффективность использования электрической энергии позволяет переход на современные транзисторы, выполненные на широкозонных полупроводниках, поскольку они способны работать на очень высоких частотах и скоростях переключения при преобразовании энергии. Наиболее перспективным полупроводниковым материалом с широкой запрещенной зоной для создания таких транзисторов является нитрид галлия (GaN). Устройства на основе нитрида галлия демонстрируют высокую надежность в энергетических системах телекоммуникационных спутников и Международной космической станции (МКС). Компоненты eGaN отлично зарекомендовали себя в качестве эффективной замены устойчивых к космическому излучению МОП-транзисторов. Но широкое распространение eGaN-транзисторов в системах электрооборудования КА сдерживает высокая стоимость и пониженная стойкость к одиночным эффектам, возникающим при воздействии тяжелых ионов [1, 2]. Европейское космическое агентство (European Space Agency, ESA) и NASA (Национальное управление США по аэронавтике и исследованию космического пространства) инвестируют значительные средства в технологию нитрида галлия. Главная цель этих программ — создание

В статье рассмотрены основные технические и эксплуатационные характеристики радиационно стойких модулей DC/DC-преобразователей, производимых китайской компанией, входящей в Китайскую корпорацию электронных технологий (China Electronics Technology Group Corporation — CETC) и предназначенных для работы в бортовой аппаратуре космической техники с шинами электропитания с номинальными напряжениями 28 и 42 В, а также модули типа POL (Point-of-Load) для размещения в непосредственной близости от нагрузки для систем распределенного электропитания низковольтных нагрузок. При разработке этих модулей особое внимание уделялось обеспечению радиационной стойкости и электромагнитной совместимости.

высокопроизводительных силовых переключающих транзисторов, выполненных по технологии eGaN, допускающих работу в космическом пространстве [1]. Но сегодня в системах электрооборудования космических аппаратов, требующих длительных сроков активного существования (САС) при воздействии заряженных частиц, вызывающих одиночные сбои, применяются радиационно стойкие кремниевые МОПтранзисторы (Metal-Oxide-Semiconductor Field-Effect Transistor, MOSFET), технология которых хорошо отработана за многие годы, и предлагаются модели с высокой устойчивостью к воздействию тяжелых заряженных частиц и высокоэнергетических протонов космического пространства. Мощные МОПтранзисторы используются в качестве ключевых элементов в современных модулях импульсных стабилизаторов напряжения, полевые транзисторы также заменяют прямые и возвратные диоды Шоттки в схемах однотактных прямоходовых преобразователей напряжения с синхронными выпрямителями для повышения КПД. Российские компании, создающие аппаратуру для изделий специального назначения, в том числе космических аппаратов с длительными сроками активного существования в условиях воздействия полей ионизирующих излучений космического пространства, применяли радиационно стойкие модули DC/DCпреобразователей зарубежного производства,

в основном компаний, расположенных в США и лидирующих на рынке. В 2016 году было импортировано этой продукции на сумму около $50 млн. Обострение геополитической обстановки в 2014 году и введение США санкций против РФ ограничили поставки импортной электронной компонентной базы и оборудования для выпуска продукции специального назначения. Наиболее чувствительна зависимость российских производителей от импортной ЭКБ в ракетно-космической, авиационной и других отраслях оборонной промышленности. Использование же менее качественной компонентной базы приводит к снижению надежности электронных систем, что отрицательно сказывается на сроках службы и надежности всего спектра российской высокотехнологичной продукции [3]. В качестве временной меры предлагается рассмотреть вариант импорта радиационно стойких электронных компонентов из Китая. В настоящее время в китайской аппаратуре космической техники используется на 90% собственная электронная компонентная база, что подтверждает высокий уровень качества китайских компонентов. Кроме того, хорошо известно об успешных китайских космических проектах. Предприятия КНР освоили все виды микроэлектронных изделий, в том числе и в радиационно стойком исполнении. В настоящее время китайские компании предлагают одну из самых производительных высоконад-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


радиационно стойкие

ежных ПЛИС в мире, радиационно стойкие микропроцессоры, память PROM, память SRAM, память FPGA PROM, память 3‑D MRAM, ЦАП, АЦП, интерфейсные микросхемы, ВЧ/СВЧ-компоненты и изделия, модули и микросхемы питания. Производственные возможности китайской микроэлектронной промышленности представлены в [4], обзор китайской радиационно стойкой электронной компонентной базы, которая применяется в собственных космических программах Китая, сделан в статье [5]. Уже в 2015 году ряд предприятий отечественной радиоэлектронной промышленности, специализирующихся на разработке и производстве функциональных устройств в модульном исполнении для построения систем электропитания бортовой аппаратуры космических аппаратов — источников электропитания, помехоподавляющих фильтров, фильтров‑ограничителей, — представил свои изделия для применения в авиационно-космической промышленности для замены зарубежных изделий в космической бортовой аппаратуре. Они характеризуются высокой радиационной стойкостью, а некоторые линейки продукции полностью взаимозаменяемы с преобразователями напряжения известных американских фирм. Массовый выпуск этой продукции сдерживается определенными сложностями в изготовлении интегральных схем для производства высоконадежных источников питания. Не предлагаются также радиационно стойкие преобразователи напряжения типа POL с выходными токами 10 A и более для обеспечения питанием современных сигнальных процессоров, ПЛИС и систем памяти, отличающихся динамическим потреблением тока. В статье рассматриваются основные технические и эксплуатационные характеристики радиационно стойких модулей DC/DC-преобразователей, выполненных по гибридно-пленочной технологии и предназначенных для работы в бортовой аппаратуре космической техники с шинами электропитания с номинальными напряжениями 28 и 42 В, а также модулей типа POL (Point-ofLoad) для размещения в непосредственной близости от нагрузки для систем распределенного электропитания низковольтных нагрузок. Обзор радиационно стойких гибридно-пленочных DC/DC-преобразователей для применения в аппаратуре ракетно-космической техники с постоянным напряжением 100 (120) В этого же предприятия был сделан в статье [6, 7]. Общие характеристики гибридно-пленочных радиационно стойких DC/DC-преобразователей серии HDCD/(20-50): • диапазон рабочей температуры: –55…+125 °C; • диапазон входного напряжения: 20–50 В, номинальные напряжения: 28 и 42 В; • входные, выходные цепи и корпус изолированы друг от друга;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

компоненты

49

Таблица 1. Перечень проверок и испытательных процедур, проводимых при производстве гибридно-пленочных преобразователей напряжения серии HDCD/(20-50) категории качества Class K согласно стандарту GJB5488-2005 № п/п

Выполняемая процедура

Метод

Условия

Требования

100% 100% 100% 100%

1

Стабилизационная печь

1008

2 3 4 5

Проверка перед термоциклированием Визуальный внутренний контроль Термоциклы Центрифуга (постоянное ускорение) Контроль свободно перемещающихся частиц внутри корпуса по уровню шума, PIND-тест (Particle Impact Noise Detection Test) Испытание перед электротермотренировкой Электротермотренировка Проверка после электротермотренировки Вычисление изменений и интенсивности отказов

1030 2017 1010 2001

+125 °C, по меньшей мере, 168 ч/+150 °C TC = +125 °C, 240 ч класс K Условие C, 10 циклов Ось Y1, 19 600 м/с2

2020

Условие A

100%

– 1015 – –

– TC = +125 °C, 240 ч – –

11

Физический разрушающий анализ (PDA)

12

Постоянство трех температурных параметров в одной и той же партии

13

Герметичность

1014

14 15

Рентгеновский контроль Визуальный внешний контроль

2012 2009

Выходное напряжение, КПД, входной ток (без нагрузки) Определение малых течей: А1 Определение больших течей: С1 – –

100% 100% 100% 100% 8% или 1 модуль (выбирается наибольший результат) ±3σ, предоставляет параметры для справки, а не в качестве критерия

6 7 8 9 10

100%

100% 100% 100%

Рис. 1. Внешние виды радиационно стойких модулей DC/DC-преобразователей серии HDCD/(20-50)

• электрическая прочность изоляции: 1000 В; • фиксированная рабочая частота преобразования: от 350 до 500 кГц, типовое значение: 400 кГц; • высокое значение среднего времени наработки до отказа — MTBF (Mean Time Between Failure): от 2105 до 3,3106 ч (зависит от модели), рассчитанное для условий применения на орбитальном участке полета; • набор необходимых сервисных функций для обеспечения работы в аппаратуре; • уровень ионизационной дозовой стойкости: 100 крад; • уровень чувствительности к одиночным ядерным частицам с ЛПЭ: 75 МэВ∙см2/мг. Применения — аппаратура спутников для геостационарных орбит, автоматические научно-исследовательские станции дальнего космоса и коммуникационные космические системы. Отбраковка потенциально ненадежных изделий в процессе производства за счет дополнительных испытаний позволяет значительно повысить надежность изделий. Кристаллы микросхем и пассивные компо-

ненты также проверяются и испытываются для оценки качества. Все чувствительные к воздействию радиации элементы тестируются на воздействие радиации. В таблице 1 приведен состав и последовательность испытаний с целью выявления потенциально ненадежных собранных изделий. Доступны модули с вертикальным расположением выводов для монтажа на печатную плату с крепежными фланцами для дополнительного крепления либо без фланцев. Модули в исполнении с горизонтальным расположением выводов предназначены для объемного монтажа. На рис. 1 показаны внешние виды гибридно-пленочных модулей преобразователей серии HDCD/(20-50) в различных конструктивных исполнениях c выходными мощностями от 1,5 до 65 Вт.

Радиационно стойкие DC/DC-преобразователи с выходной мощностью 1,5 Вт Преобразователи напряжения этого ряда реализованы по обратноходовой топологии с трансформаторной развязкой в контуре обwww.kite.ru


компоненты

50

радиационно стойкие

Таблица 2. Номенклатура и общие характеристики 1,5‑Вт DC/DC-преобразователей напряжения серии HDCD/(20-50) Модель

Выходная мощность, Вт

Выходное напряжение, В

КПД, % при полной нагрузке

Габаритные размеры, мм

HDCD/(20-50)-5-1.5/SP

1,5

5

25,14×20,66×6,86

HDCD/(20-50)-12-1.5/SP

1,5

12

HDCD/(20-50)-5-1.5/D1

1,5

±5

HDCD/(20-50)-12-1.5/D1

1,5

±12

28 В: 65 42 В: 62 28 В: 65 42 В: 62 28 В: 65 42 В: 62 28 В: 65 42 В: 63

25,14×20,66×6,86 27,6×27,6×6,86 27,6×27,6×6,86

а

б

Рис. 2. Структурные схемы 1,5‑Вт DC/DC-преобразователей: a) структурная схема одноканального преобразователя; б) структурная схема двухканального преобразователя

ратной связи. В модулях преобразователей, выполненных по этой топологии, применяется только один индуктивный элемент — силовой трансформатор, минимальное количество силовых полупроводниковых элементов — один транзистор и один диод Шоттки. Модули поставляются в корпусах для монтажа в отверстия печатной платы. Номенклатура и общие характеристики 1,5‑Вт DC/DC-преобразователей представлены в таблице 2. Весьма упрощенные структурные схемы одно- и двухканальных 1,5‑Вт преобразователей напряжения показаны на рис. 2. Микросхема ШИМ-контроллера является критическим элементом, обусловливающим проявление одиночных переходных процессов в импульсном преобразователе напряжения при воздействии протонов и ионов, ШИМ-устройство также считается одним из наиболее чувствительных узлов импульс-

ных стабилизаторов напряжения к дозовым отказам [8]. Узел широтно-импульсной модуляции выполняет основную роль в преобразователях напряжения — формирует сигнал управления ключевым элементом. Именно с помощью этого узла достигаются все преимущества импульсных стабилизаторов. Специализированная микросхема ШИМконтроллера позволяет организовать дополнительную обратную связь по току дросселя (ДОСТД). Внутренний контур регулирования используется для прямого регулирования амплитуды тока дросселя посредством сигнала ошибки, а основной контур служит для стабилизации выходного напряжения. Применение ДОСТД позволяет улучшить различные характеристики преобразователя: упрощается частотная коррекция контура обратной связи и уменьшается время реакции контура как при малых, так и при больших изменениях тока нагрузки.

Применение кристаллов микросхем ШИМконтроллеров предусматривает сокращение количества компонентов и уменьшение размеров площади преобразователя. Для повышения общей радиационной стойкости преобразователей напряжения в этой и других моделях рассматриваемой серии HDCD/(20-50) применяются радиационно стойкие микросхемы ШИМ-контроллеров, выполненных по биполярной технологии. В качестве ключевого элемента используется специально отобранный МОП-транзистор, который также является одним из наиболее чувствительных к воздействию ионизирующего излучения космического пространства элементом. Для повышения надежности применяется также дублирование транзистора. Китайские специалисты не раскрывают деталей реализации данного узла преобразователей напряжения. Слишком упрощенная структурная схема не отражает схемотехнические решения для реализации узла формирования внутреннего напряжения питания для обеспечения работы схемы управления (ШИМ-контроллера) и усилителя сигнала ошибки. Как видно из представленной схемы, сначала схема формирования внутреннего напряжения работает от входного напряжения, затем, после начала работы схемы управления, включается схема подхвата, действующая от пониженного напряжения, снимаемого с дополнительной вторичной обмотки силового трансформатора. Работа схемы управления от более низкого напряжения позволяет повысить КПД. Варианты реализации схемы построения узла формирования внутреннего напряжения питания для преобразователей напряжения, обладающих радиационной стойкостью, представлены в [9]. Модули снабжены командным входом дистанционного включения/выключения (inhibit function). При низком напряжении (<1,5 В) на этом входе преобразователь выключается, преобразователь нормально работает при высоком напряжении на этом входе (13–25 В) или когда вывод командного входа находится в свободном состоянии. В отключенном режиме входной ток не превышает 5 мА, а напряжение на выходе менее чем 0,5 В. Схема подключения командного входа дистанционного включения/выключения представлена на рис. 3. Преобразователь напряжения не формирует выходное напряжение, когда командный вход заземлен без промежуточных каскадов или соединен через биполярный NPN-транзистор (на базе транзистора установлен высокий уровень), как показано на рис. 3. Когда вход находится в свободном состоянии, напряжение на этом входе составляет 8,5–13 В. Значения резисторов и емкостей могут быть соответственно уточнены. Вывод дистанционного включения/выключения может быть оставлен в свободном состоянии, когда он не используется.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


радиационно стойкие

компоненты

51

Рис. 3. Схема подключения входа дистанционного включения/выключения

а

б

Рис. 4. Схемы ограничения пускового тока с p‑канальным и n‑канальным транзистором: a) схема ограничения тока с p‑канальным транзистором; б) схема ограничения пускового тока с n‑канальным транзистором

Защита от короткого замыкания позволяет защитить полупроводниковые и иные элементы схемы преобразователя от чрезмерной токовой нагрузки. При коротком замыкании нагрузки преобразователь переключается в защитный режим (при этом издается резкий звук). Выходное напряжение спадает до 0 В, при коротком замыкании внутренняя мощность рассеивания находится в диапазоне 0,8–2 Вт. При снижении входного напряжения ниже (14 ±2) В на выходе преобразователя напряжение не формируется. Функция защиты от пониженного входного напряжения позволяет защитить аккумуляторные батареи от разряда. Преобразователи напряжения способны выдерживать импульсы напряжения с амплитудой 80 В и длительностью 1 мс. Решение проблемы пускового тока На входе преобразователя напряжения применяется LC-фильтр (на структурной схеме не показан). При включении преобразователя напряжения неизбежно возникает значительный пусковой ток, обусловленный, главным образом, зарядом входных конденсаторов с небольшими значениями эквивалентного последовательного сопротивления

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

(ESR), подключенных на входе шины питания. Поэтому на входе рекомендуется добавить активную схему ограничения пускового тока или применять внешний фильтр электромагнитных помех с встроенной схемой плавного запуска. На рис. 4 показаны схемы ограничения пускового тока. Рекомендуется использовать следующие компоненты: R1 = 36 кОм, R2 = 20 кОм, R3 = 20 Ом, C1 = 1 мкФ. Время заряда и разряда может регулироваться изменением значения емкости конденсатора C1. Пробивное напряжение для p‑ и n‑канальных MOПтранзисторов должно превышать 100 В. Рабочий ток должен быть выбран с учетом тока шины. Рекомендуется, чтобы время установления входного напряжения шины было больше 1 мс. Точное значение емкости конденсатора C1 должно быть выбрано с учетом реального системного применения. При включении питания транзистор выключен и ток протекает через канал выключенного транзистора. Конденсатор C1 медленно заряжается через резистор R1. Когда напряжение превышает пороговое на затворе МОП-транзистора, входной ток течет через открытый транзистор. Резистор R2 ограничивает напряжение на затворе на безопасном

уровне, образуя резистивный делитель с R2, и разряжает конденсатор C1 для обеспечения работы схемы ограничения тока при повторном включении. Применение схем ограничения пускового тока осуществляет защиту от включения в обратной полярности. Для ограничения пускового тока также возможно использовать командный вход дистанционного включения/выключения, особенно когда в системе используется медленно повышающееся напряжение. Преобразователь удерживается в выключенном состоянии до тех пор, пока входное напряжение не повысится и не установится в стабильное состояние. Многочисленные преобразователи напряжения, находящиеся в выключенном состоянии на одной и той же линии, могут также использовать функцию дистанционного включения/выключения благодаря разнесению времени включения отдельных преобразователей, так что пусковой ток распределяется во времени, а не протекает одновременно. Применение функции дистанционного включения/выключения, таким образом, снизит вероятность появления проблемы с входным импедансом, возникающей при подаче входного напряжения [10]. www.kite.ru


компоненты

52

а

радиационно стойкие

в

б

Рис. 5. Схемы фильтров электромагнитных помех для установки на выходе преобразователей напряжения: a) с дополнительным конденсатором; б) дополнительный LC-фильтр; в) LC-фильтр П‑образный

а

б

Рис. 6. Эффективность применения модуля фильтра HFMSA/(20-50)-461-40 на входе модуля преобразователя HDCD/(20-50)-12-1.5/SP: а) частотный спектр помех без установленного внешнего фильтра, создаваемый модулем на входе, превышает нормы стандарта MIL-STD‑461, категория CE‑102; б) уровень помех с применением модуля фильтра HFSMA/(20-50)-461-40

Фильтры электромагнитных помех Для подавления как основной рабочей частоты, так и ее гармоник на выходе преобразователей применяется LC-фильтр (на структурной схеме не показан). Если уровень пульсации выходного напряжения не соответствует требованиям системы, фильтрующая схема может быть доработана, для того чтобы подавить пульсации. На рис. 5 показано несколько рекомендованных схем фильтров. Конденсатор должен быть установлен как можно ближе к нагрузке, при этом значение емкости конденсатора должно быть меньше максимально допустимой емкостной нагрузки преобразователя (100 мкФ). Здесь следует заметить следующее обстоятельство: однотактные обратноходовые преобразователи напряжения обладают существенным недостатком — требуют наличия больших емкостей сглаживающего выходного фильтра. Правильный выбор выходного конденсатора позволяет снизить массогабаритные параметры конечного изделия. Применение керамических конденсаторов с увеличенными нормами пульсаций дает возможность значительно увеличить нормы заданных пульсаций напряжения, а следовательно, уменьшить массу и габариты фильтров. Только если нагрузка имеет высокочастотную динамику потребления, следует применять электролитические конденсаторы [11]. Для подавления помех на входе преобразователя применяется простейший LC-фильтр с небольшим вносимым затуханием. Если необходимы меньшие пульсации входного тока или обеспечение соответствия регламентам военных стандартов или другим требованиям к уровню электромагнитных помех на шине питания, требуется при-

менение внешних сглаживающих фильтров. Для обеспечения соответствия нормам кондуктивных помех рекомендуется устанавливать модуль фильтра HFSMA/(20-50)-461-40. Применение рекомендованного фильтра на входе импульсного преобразователя напряжения, который является нелинейным двухполюсником с отрицательным дифференциальным сопротивлением, обеспечивает устойчивость системы «входной фильтр – преобразователь». Выходное комплексное сопротивление входного фильтра HFSMA/(20-50)-461-40 значительно меньше входного комплексного сопротивления преобразователя, что является условием, исключающим возникновение автоколебательного режима в системе «входной фильтр – преобразователь». На рис. 6 показана эффективность применения помехоподавляющего фильтра HFSMA/(20-50)-461-40 с вносимым затуханием 60 дБ на частоте 500 кГц на входе DC/DC-преобразователя HDCD/(20-50)-5-1.5/SP. Применение фильтра снижает уровень пульсаций напряжения на входе DC/DC-преобразователя: напряжение помех значительно ниже уровней напряжения, указанных в категории CE102 (устанавливает ограничения по уровню кондуктивных помех в полосе частот от 30 кГц до 10 МГц) стандарта MIL-STD‑461E. Искажения напряжения установлены в дБ·мкВ. Металлический корпус преобразователей изготовлен из холоднокатаной стали, которая имеет высокое значение теплопроводности. В таблице 3 приведены тепловые сопротивления модулей, выраженные в °C/Вт рассеиваемой мощности. Для поддержания температуры корпуса ниже +125 °C должен быть предусмотрен теплоотвод. Значение теплового сопротивления используется для определения

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


радиационно стойкие

Таблица 3. Тепловые сопротивления 1,5‑Вт модулей серии HDCD/(20-50) Модель

Тепловое сопротивление, °С/Вт

Размеры радиатора, мм

Мощность, Вт

Материал радиатора

HDCD/(20-50)-5-1.5/SP HDCD/(20-50)-5-1.5/D1

23,6

0,64 Вт

Не требуется

8,1

30×20×1

0,7

HDCD/(20-50)-5-1.5/D1

Медь

7,5

30×20×1

0,71

Медь

Рис. 7. Зависимость КПД от выходного тока для различных значений входного напряжения для модели HDCD/(20-50)-5-1.5/SP

температуры перегрева модуля относительно окружающей среды и определения температуры окружающей среды, при которой модуль может работать без радиатора. Типовая зависимость КПД от выходного тока для одноканального преобразователя HDCD/(20-50)-5-1.5/SP с выходным напряжением 5 В для различных значений входного напряжения (28 и 42 В) показана на рис. 7. Этот график позволяет выбрать оптимальный коэффициент загрузки модуля по мощности и обеспечить допустимую рассеиваемую мощность, чтобы повысить надежность. Обеспечение оптимального теплового режима в конкретных условиях эксплуатации позволяет достичь приемлемого срока службы устройства, так как повышенная температура увеличивает интенсивность его отказов. Интенсивность отказов удваивается с увеличением рабочей температуры на каждые +15 °C. Зависимость среднего времени наработки до отказа — MTBF (Mean Time Between Failure) для преобразователя напряжения HDCD/(20-50)-5-1.5/SP представлена на рис. 8. Значение MTBF рассчитано для условий космического полета. Для эффективной работы модуля рекомендуется, чтобы фактическая рабочая мощность составляла 30–70% от номинальной выходной мощности, поскольку в этом диапазоне мощностей параметры

Рис. 8. Зависимость значения MTBF от рабочей температуры для DC/DC-преобразователя HDCD/(20-50)-5-1.5/SP

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

компоненты

53

DC/DC-преобразователя будут использованы оптимально и работа преобразователя будет надежной и устойчивой. При небольшой нагрузке элемент, накапливающий энергию (индуктивность трансформатора), не сможет следовать за током нагрузки — в этом случае ток будет разрывным, соответственно, выходное напряжение будет нестабильным. Как правило, DC/DC-преобразователь имеет ограничение по минимальной нагрузке, в большинстве случаев 10% от номинальной нагрузки. В том случае, когда преобразователь используется с небольшой нагрузкой или в режиме холостого хода, применение определенной искусственной нагрузки, обычно 10% от номинальной нагрузки, является эффективным решением. В качестве догрузки на выходе DC/DC-преобразователя можно использовать внешний резистор. Дополнительная нагрузка преобразователя позволяет сохранить режим неразрывных токов при малых токах нагрузки. Радиационная стойкость Важным требованием к источникам электропитания, предназначенным для функционирования в составе систем электроснабжения космических аппаратов и спутников, является высокая надежность при работе в условиях воздействия специальных факторов космического пространства, так как от этого показателя зависит срок активного существования спутника или космического аппарата. Для обеспечения высоких показателей радиационной стойкости к воздействию тяжелых заряженных частиц с высокими значениями линейной передачи энергии и дозовым ионизационным эффектам при изготовлении преобразователей напряжения применяются полупроводниковые компоненты с высокой стойкостью к влиянию ионизирующих воздействий: специальная микросхема ШИМ-контроллера и подобранный n‑канальный МОП-транзистор. Применяются микросхемы операционных усилителей и компараторов, выполненных по биполярной технологии, которые отличаются высокими уровнями дозовой стойкости. Используются особые подходы и методы проектирования. Проведенные испытания подтвердили высокую радиационную стойкость модулей DC/DC-преобразователей серии HDCD/(20-50). Уровень ионизационной дозовой стойкости составляет 100 крад, а уровень чувствительности к одиночным ядерным частицам с ЛПЭ — 75 МэВ∙см2/мг.

Радиационно стойкие DC/DC-преобразователи с выходной мощностью 5 Вт Пятиваттные DC/DC-преобразователи серии HDCD/(20–50) обеспечивают один и два канала выходного напряжения с номинальными значениями напряжений 5, 12, 15, ±5, ±12 В. Преобразование напряжения происходит с КПД до 64%. Удельная объемная мощность составляет до 956 Вт/дм3 (для одноканальных моделей). Габаритные размеры модуля без крепежных фланцев 27,627,66,86 мм, двухканальные модули выполняются в корпусе с крепежными фланцами с размерами 5128,948,38 мм. Для работы модулей питания в составе комплексов электронной аппаратуры в штатных и нештатных режимах работы предусмотрены следующие сервисные функции: дистанционное включение/выключение, защита от пониженного входного напряжения (модуль отключается при напряжении на входе <15,5 В), защита от короткого замыкания, синхронизация от внешнего генератора для обеспечения работы на любой частоте в диапазоне 350–500 кГц (только для двухканальных моделей), регулировка выходного напряжения внешним потенциометром. Полная номенклатура модулей и основные характеристики представлены в таблице 4. Модули с выходной мощностью 5 Вт выполнены по обратноходовой топологии. Весьма упрощенные структурные схемы однои двухканальных моделей представлены на рис. 9. Для регулирования выходного напряжения применяется метод широтно-импульсной модуляции (ШИМ) с постоянной рабочей частотой и обратной связью по напряжению, а также с дополнительной обратwww.kite.ru


компоненты

54

радиационно стойкие

Таблица 4. Номенклатура и общие характеристики 5‑Вт радиационно стойких модулей серии HDCD/(20-50) Модель

Выходная мощность, Вт

Выходное напряжение, В

КПД, % при полной нагрузке

Габаритные размеры, мм

HDCD/(20-50)-5R-5/SP

5

5

28 В: 64 42 В: 64

27,6×27,6×6,86 (51×28,94×8,38 с крепежным фланцем)

HDCD/(20-50)-12R-5/SP

5

12

28 В: 68 42 В: 66

27,6×27,6×6,86 (51×28,94×8,38 с крепежным фланцем)

HDCD/(20-50)-15R-5/SP

5

15

28 В: 69 42 В: 67

27,6×27,6×6,86 (51×28,94×8,38 с крепежным фланцем)

HDCD/(20-50)-5-5F/D1

5

±5

28 В: 65 42 В: 63

27,6×27,6×6,86 (51×28,94×8,38 с крепежным фланцем)

HDCD/(20-50)-12-5F/D1

5

±12

28 В: 67 42 В: 65

27,6×27,6×6,86 (51×28,94×8,38 с крепежным фланцем)

а

б

Рис. 9. Структурные схемы радиационно стойких 5‑Вт модулей DC/DC-преобразователей: a) одноканальное исполнение; б) двухканальное исполнение

Таблица 5. Тепловые сопротивления некоторых моделей 5‑Вт модулей серии HDCD/(20-50) Модель

Тепловое сопротивление, °С/Вт

Размеры радиатора, мм

Мощность, Вт

Материал радиатора Медь

HDCD/(20-50)-5R-5/SP

8,1

30×20×1

2,1

HDCD/(20-50)-12R-5/SP

9

30×20×1

1,9

Медь

HDCD/(20-50)-15R-5/SP

10,2

30×20×1

1,7

Медь

ной связью по току дросселя (ДОСТД). При регулировании с ДОСТД для прямого регулирования амплитуды тока дросселя посредством сигнала ошибки используется внутренний контур регулирования, в то время как основной контур служит для стабилизации выходного напряжения. Как отмечалось ра-

нее, микросхема ШИМ-контроллера является основным узлом импульсных стабилизаторов напряжения, наиболее чувствительным к одиночным и дозовым ионизационным эффектам. В преобразователях серии HDCD/(20-50) применяется радиационно стойкий кристалл ШИМ-контроллера, обеспечивающий посто-

янную частоту переключения и постоянный уровень ограничения тока стока силового транзистора. В других узлах схемы установлены универсальные микросхемы средней степени интеграции (биполярные), обладающие высокой радиационной стойкостью. В качестве гальванической развязки для формирования сигнала цепи обратной связи используется трансформатор, что позволяет значительно повысить уровень стойкости узла обратной связи и импульсного стабилизатора напряжения в целом. В структурной схеме не показаны пассивные ограничители напряжения на элементах схемы. Цепи ограничения выбросов напряжения обязательно применяются в однотактных обратноходовых преобразователях на мощностях до 50 Вт. Для ограничения электромагнитных помех на входе одноканальных преобразователей, когда этого требуют условия применения, рекомендуется устанавливать фильтр электромагнитных помех HFMSA/(2050)-46140, а на входе двухканальных моделей преобразователей — фильтры HFMH/(2050)-461-75, которые подавляют помехи до уровней ниже нормирующей кривой CE102 стандарта MIL-STD‑461 и гарантируют устойчивость системы «входной фильтр — преобразователь». Однако следует заметить, что на входе и выходе DC/DC-преобразователей имеются встроенные фильтры радиопомех, которые подавляют помехи до уровней, допустимых для многих применений. В качестве сглаживающих выходных фильтров рекомендуется использовать схемы фильтров, представленных ранее. В таблице 5 приведены тепловые сопротивления некоторых 5‑Вт модулей преобразователей напряжения. Этот параметр, характеризующий теплопередающие свойства конструкции, позволяет рассчитать параметры теплоотвода для поддержания оптимальной температуры корпуса модуля для конкретных условий эксплуатации. В аппаратуре космических аппаратов и спутников можно реализовать кондуктивный теплоотвод при соединении теплоотводящей поверхности корпуса модуля с массивными металлическими (алюминий, медь) элементами конструкции.

Радиационно стойкие DC/DC-преобразователи серии HDCD/(20-50) с выходной мощностью 15 Вт Преобразователи этого ряда обеспечивают выходные мощности 8, 12, 15 Вт, преобразование напряжения осуществляется с КПД до 72% (зависит от конкретной модели) с рабочей частотой 350–500 кГц. Предлагаются одно-, двух- и трехканальные модели. Основные параметры и номенклатура этого ряда преобразователей приведены в таблице 6. Модули соответствуют

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


радиационно стойкие

Рис. 10. Структурная схема 15‑Вт двухканальной модели преобразователя напряжения серии HDCD/(20-50)

Таблица 6. Номенклатура и общие характеристики 15‑Вт DC/DC-преобразователей серии HDCD/(20-50) Модель

Выходная мощность, Вт

Выходное напряжение, В

КПД, % при полной нагрузке

Габаритные размеры, мм

HDCD/(20-50)-3R3-8F/SP

8

3,3 (регулируемое)

28 В: 70 42 В: 69 28 В: 75 42 В: 75 28 В: 81 42 В: 81 28 В: 82 42 В: 81 28 В: 77 42 В: 76 28 В: 81 42 В: 81 28 В: 83 42 В: 82 28 В: 73 42 В: 73 28 В: 73 42 В: 73 28 В: 77 42 В: 76 28 В: 81 42 В: 80 28 В: 81 42 В: 80

51×28,94×8,38

HDCD/(20-50)-5R-12F/SP

12

5 (регулируемое)

HDCD/(20-50)-12R-15F/SP

15

12 (регулируемое)

HDCD/(20-50)-15R-15F/SP

15

15 (регулируемое)

HDCD/(20-50)-5-12F/D1

12

±5

HDCD/(20-50)-12-15F/D1

15

±12

HDCD/(20-50)-15-15F/D1

15

±15

HDCD/(20-50)-512-15F/T1

15

5/±12

HDCD/(20-50)-515-15F/T1

15

5/±15

HDCD/(20-50)-5-15VF/SP

15

5 (регулируемое)

HDCD/(20-50)-12-15VF/D1

15

±12

HDCD/(20-50)-15-15VF/D1

15

±15

требованиям стандарта GJB2438A‑2002 (аналог MIL-PRF‑38534) к изделиям категории качества Class H. В качестве структуры для одно- и двухканальных моделей применяется однотактная обратноходовая топология, для которой характерны хорошая эффективность фильтрации пульсаций первичного напряжения и ограниченное число реактивных элементов. Упрощенная структурная схема двухканальной модели показана на рис. 10. Трехканальные модели созданы по схеме однотактного прямоходового преобразователя. В справочных материалах компании не представлена структурная схема трехканального преобразователя. Применение радиационно стойкой микросхемы ШИМ-контроллера позволяет упростить конструкцию импульсного преобразователя, уменьшить размеры и повысить надежность. ШИМ-контроллер обеспечивает регулирование электрической энергии с постоянной рабочей частотой, обратной связью по напряжению, а также дополнительной обратной связью по току дросселя. Мощный квазикомплементарный выходной каскад

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

51×28,94×8,38 51×28,94×8,38 51×28,94×8,38 51×28,94×8,38 51×28,94×8,38 51×28,94×8,38 68,59×34,29×10,29 68,59×34,29×10,29 51×28,94×8,38 51×28,94×8,38 51×28,94×8,38

микросхемы ШИМ-контроллера с ДОСТД поддерживает быструю зарядку входной емкости мощного МОП-транзистора, что необходимо для быстрого переключения МОП-транзистора и исключает применение дополнительного драйвера затвора силового транзистора. Подавление высокочастотных помех на входе и выходе модулей осуществляется встроенными фильтрами, которые подавляют помехи до уровней, допустимых для многих применений. Модули следует подключать к источнику, имеющему низкий выходной импеданс по переменному току. Как было отмечено ранее, высокий импеданс индуктивного типа может повлиять на устойчивость работы модуля. Для дополнительного уменьшения высокочастотных помех на входе преобразователей рекомендуется устанавливать модули фильтров HFMH/(20-50)-461-75 (для одноканальных и двухканальных модулей), модуль HFMH/(20-50)-461-135 (для трехканальных модулей). Предлагаемые модули фильтров оптимизированы для совместной работы

компоненты

55

с преобразователями напряжения по частотам преобразования и входным напряжениям, что исключает возникновение автоколебательного режима в системе «входной фильтр — преобразователь». Модуль фильтра размещается в непосредственной близости к входу DC/DC-преобразователя. Для подавления помех на выходных шинах рекомендуются помехоподавляющие фильтры, примеры которых были приведены ранее. Для обеспечения работы модулей преобразователей в составе аппаратуры в различных режимах они снабжены следующими сервисными функциями: защита от короткого замыкания, защита от пониженного входного напряжения, дистанционное включение/выключение, регулировка выходного напряжения (для одноканальных моделей), синхронизация частоты преобразования внешним синхросигналом. Диапазон частоты сигнала синхронизации 400–500 кГц, логический уровень совместим с TTЛ-схемами, коэффициент заполнения 40–60%. Рекомендуется использовать переменное напряжение прямоугольной формы (меандр) с коэффициентом заполнения 50%. Защита от перегрузки по току срабатывает при перегрузке 140–180% от номинального значения тока. При жестком коротком замыкании нагрузки преобразователь переходит в защитный режим: выходное напряжение падает до 0 В, ток шины около 70–110 мА, рассеиваемая мощность примерно 3–5 Вт (для одно- и двухканальных моделей) и 7–9 Вт для трехканальных моделей. Стабилизация выходного напряжения осуществляется только для канала положительного напряжения, регулировка выходного отрицательного напряжения осуществляется только за счет магнитной связи обмотки трансформатора с витками обмотки положительного напряжения. Перекрестное регулирование при изменении нагрузки от 30% до 70% и от 70% до 30% составляет до 6% при начальной загрузке каналов 50%/50%. Поэтому требуется обеспечивать симметричную сбалансированную нагрузку на выходах двухканальных преобразователей. График зависимости КПД от выходного тока для одноканальной модели HDCD/(20-50)-15R‑15F/SP показан на рис. 11. Типовое значение КПД для этого модуля при входном напряжении 28 В и токе нагрузки 1 A составляет 78%, при входном напряжении 42 В это значение составляет 76%. Для надежной работы модуля преобразователя важно выбрать эффективный способ отвода тепла от модуля в конкретных условиях эксплуатации, снизить перегрев и размеры теплоотвода. В таблице 7 приведены значения теплового сопротивления модулей питания этого ряда и размеры радиатора для некоторых значений рассеиваемой мощности. Для оптимального применения модулей в аппаратуре для каждой модели в документации приводятся разнообразные графики: www.kite.ru


компоненты

56

радиационно стойкие

Таблица 7. Тепловые сопротивления некоторых моделей 15‑ваттных модулей серии HDCD/(20-50) Модель

Тепловое сопротивление, °С/Вт

Размеры радиатора, мм

Мощность, Вт

Материал радиатора

HDCD/(20-50)-3R3-8F/SP

5,8

75×54×1

3,39

Медь

HDCD/(20-50)-5R-12F/SP

7,1

75×54×1

3,85

Медь

HDCD/(20-50)-15R-15F/D1

9,6

75×54×1

2,96

Медь

HDCD/(20-50)-512-15F/T1

2,89

370×300×1

5,37

Медь

HDCD/(20-50)-515-15F/T1

2,89

370×300×1

5,26

Медь

график, отражающий процесс включения при подаче напряжения, зависимость выходного напряжения от изменения нагрузки (50–100%), зависимость КПД от тока нагрузки, переходный процесс при скачках нагрузки, изменение выходного напряжения при изменении входного напряжения при полной нагрузке, зависимость КПД от входного напряжения, зависимость КПД от температуры при различных входных напряжениях, перекрестное регулирование при изменениях нагрузки (для двухканальных преобразователей). n Окончание следует.

Литература 1. Рентюк В. Системы питания и перспективы использования GaN в космических аппаратах. Часть 1. Питание КА, общие принципы // Силовая электроника. 2019. № 6. 2. Рентюк В. Системы питания и перспективы использования GaN в космических аппаратах. Часть 2. Питание КА, практические решения // Силовая электроника. 2020. № 1. 3. Бендиков М. А., Ганичев Н. А. Электронная импортозависимость и пути ее преодоления (на примере космической промышленности) // Экономический анализ: теория и практика. 2015. № 3. 4. Белоус А. И., Солодуха В. А., Шведов С. В. Космическая электроника. В 2‑x кн. М.: Техносфера, 2015. 5. Лысенко П. Китайская радиационно стойкая ЭКБ на российском рынке // Современная электроника. 2021. № 6. 6. Безродный В. Радиационно стойкие DC/DC-преобразователи из КНР. Часть 1 // Современная электроника. 2016. № 7.

новости

Рис. 11. Зависимость КПД от выходного тока для одноканальной модели HDCD/(20-50)-15R‑15F/SP

7. Безродный В. Радиационно стойкие DC/DC-преобразователи из КНР. Часть 2 // Современная электроника. 2016. № 8. 8. Кессаринский Л. Н., Бойченко Д. В., Никифоров А. Ю. Анализ радиационного поведения импульсных стабилизаторов напряжения // Микроэлектроника. 2012. Т. 41. № 4. 9. Горячев В., Чуприн А. Источник вторичного электропитания для специальной бортовой аппаратуры. Формирование внутреннего напряжения питания // Электроника: Наука, Технология, Бизнес. 2015. № 10. 10. Жданкин В. Управление пусковым током в DC/DC-преобразователях // Компоненты и технологии. 2016. № 8. 11. Сергеев Б. С. Сглаживающие фильтры однотактного преобразователя с обратным включением диода // Электропитание. 2013. № 4. 12. Жданкин В. Дистанционное управление и внешняя синхронизация преобразователей напряжения // Компоненты и технологии. 2017. № 5. 13. Герасимов А. А., Кастров М. Ю. Разработка прямоходового преобразователя постоянного напряжения с одним силовым ключом и резонансным размагничиванием // Практическая силовая электроника. 2011. № 41. 14. Лукин А. В. Новые направления развития преобразователей постоянного напряжения (по материалам зарубежной печати) // Электропитание. 2011. № 2.

датчики

Первый сенсор новой серии GCENE от Gpixel для кино- и фотосъемки, аэрофототехники

Компания Gpixel представляет новинку — сенсор GCENE4349, первый в новой серии GCENE для кинои фотосъемки, аэрофототехники, других профессиональных применений, где найдут место непревзойденные характеристики нового представителя семейства. Обладая огромным опытом разработки

и производства узкоспециализированных сенсоров для научных, индустриальных применений, в сотрудничестве с именитыми партнерами в профессиональной сфере, компания Gpixel принесла в индустрию кино, фото и телевидения действительно интересный и востребованный прибор.

GCENE4349 — уникальная полнокадровая (Full Frame 35 мм) многослойная (stacking) матрица с обратной засветкой (BSI) и динамическим диапазоном 110 дБ, или более 18 стопов. Разрешение матрицы 49 Мп с пикселем 4,3 мкм, кадровая частота видео при разрешении 8k — 120 Гц, при 4k — 240 Гц. Верхний слой матрицы — BSI фоточувствительная область, нижний слой представляет собой массив ячеек, содержащий 16‑бит АЦП и SRAM-память, что позволяет накапливать и хранить до 4 субкадров для получения бесшовной результирующей экспозиции. Величина накапливаемого сигнала пикселя достигает 160 000 е–, шум считывания в режиме Digital Still Camera (DSC) опускается до 1,7 е–. www.gpixel.com КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


Реклама


Реклама


схемотехника

проектирование

59

Расчет и оптимизация RC-демпфера для симистора

Валентин Володин

Параметры симистора Обычно симисторы используются для коммутации различных нагрузок, подключенных к сети переменного тока частотой 50 или 60 Гц. В дальнейшем будет рассматриваться именно этот случай применения симистора. Условное схемное обозначение симистора (рис. 1) получено путем совмещения двух встречно-параллельно включенных тиристоров, имеющих общий управляющий электрод. В отличие от тиристора симистор является ключом переменного тока и может проводить ток в обоих направлениях. Поэтому его можно рассматривать как полупроводниковый прибор, который может быть переключен из закрытого состояния в открытое и наоборот при любой полярности на основных выводах [1]. Для того чтобы симистор работал долго и надежно, необходимо обеспечить ряд условий. В первую очередь речь идет об очевидных параметрах, ограничивающих максимальные режимы эксплуатации: • IT(RMS) — максимальный действующий ток в открытом состоянии, А; • ITSM — максимальный импульсный (неповторяющийся) ток в открытом состоянии, А; • dI/dt — критическая скорость нарастания тока в открытом состоянии, А/мкс; • VDRM /VRRM — максимальное повторяющееся напряжение, приложенное к симистору в закрытом состоянии, В; • VDSM /VRSM — максимальное импульсное (неповторяющееся) напряжение, приложенное к симистору в закрытом состоянии, В; • IGM — максимальный ток затвора (управляющего электрода), А;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

Симистор (Triac) представляет собой разновидность тиристора. Однако в отличие от тиристора симистор может пропускать переменный ток, поэтому симисторы давно нашли широкое применение в качестве коммутаторов и регуляторов переменного тока в различной аппаратуре бытового и промышленного назначения. В отличие от электромагнитных реле, которые используются для похожих целей, симисторы обеспечивают большую надежность, быстродействие, а также меньший уровень помех, генерируемых при коммутации (открытие/закрытие). Благодаря своим превосходным характеристикам симисторы практически вытеснили электромагнитные реле во многих применениях. В немалой степени этому способствует регулярное снижение цен на данные компоненты.

• PG(AV) — средняя мощность, рассеиваемая в затворе (управляющем электроде), Вт; • Tj — максимальная рабочая температура кристалла, °C. Превышение любого из вышеперечисленных параметров может привести к необратимому повреждению симистора. Кроме уже перечисленных, надо учитывать ряд не менее важных параметров симистора, от которых зависит надежность процесса коммутации (переключения) электронного прибора из закрытого состояния в открытое и обратно: • I GT — открывающий постоянный ток управления, мА; • IL — ток включения, мА; • IH — ток удержания, мА; • (dV/dt)cr — критическая скорость нарастания напряжения в закрытом состоянии, В/мкс; • (dV/dt)c –— критическая скорость нарастания коммутационного напряжения, В/мкс; • (dI/dt)c — скорость снижения тока в открытом состоянии, А/мс. Игнорирование этих параметров может привести к тому, что симистор не откроется в нужный момент либо откроется самопроизвольно в тот момент, когда это абсолютно не ожидается.

Применение RC-демпфера Перечислим основные проблемы, связанные с коммутацией симистора [2, 3]: • повторное самопроизвольное открытие симистора при смене полярности тока; • самопроизвольное открытие симистора при быстром нарастании напряжения; • самопроизвольное открытие или повреждение симистора при кратковременном превышении максимального напряжения.

Рис. 1. Условное схемное обозначение симистора: G — управляющий электрод (затвор); A1 — анод 1 или основной вывод 1 (Main Terminal 1 — MT1); A2 — анод 2 или основной вывод 2 (Main Terminal 2 — MT2)

Рассмотрим подробнее причины возникновения перечисленных проблем, а также способы их преодоления. Повторное самопроизвольное открытие симистора Обычно симисторы используются для коммутации (включения/выключения) различных нагрузок в промышленных или бытовых сетях переменного тока. Когда симистор открыт и через него протекает ток, то в соответствующей области его полупроводниковой структуры накапливается избыточный заряд. Этот заряд исчезает за счет рекомбинации при уменьшении рабочего тока. Если убрать ток управления и затем достаточно медленно снижать рабочий ток, то избыточный заряд успеет рекомбинировать, а симистор перейдет в закрытое состояние после того, как рабочий ток достигнет уровня удержания IH. При быстром снижении рабочего тока избыточный заряд может не успеть рекомбинировать. В этом случае, www.kite.ru


проектирование

60

схемотехника

а

б

Рис. 2. Отключение симистора при индуктивной нагрузке: а) нормальное отключение; б) отключение с повторным включением

после смены полярности напряжения, через симистор будет протекать ток восстановления, вызванный процессом рассасывания избыточного заряда. Если при этом скорость нарастания обратного напряжения не превысит критического значения (dV/dt)c, то симистор останется закрытым (рис. 2а). В противном случае симистор может самопроизвольно перейти в открытое состояние (рис. 2б) [1, 3]. Параметры (dV/dt)c и (dI/dt)c имеют взаимную функциональную зависимость. Чем выше критическое значение скорости нарастания коммутационного напряжения (dV/dt)c, тем ниже должна быть скорость снижения тока (dI/dt)c. Иногда в справочных данных производители приводят график зависимости скорости снижения тока от критической скорости нарастания коммутационного напряжения. Для примера на рис. 3 приведены графики такой зависимости для симисторов T405, T410, T435 производства фирмы ST [4]. Примечание. На рис. 3 значение скорости снижения тока указывается относительно значения (dI/dt)c, соответствующего скоро‑ сти нарастания коммутационного напряже‑ ния (dV/dt)c = 10 В/мкс. Если через симистор протекает переменный действующий ток IRMS синусоидальной формы, то скорость снижения тока можно найти по формуле (А/с):

dI/dt = 2pfIRMS√2,

Рис. 3. Типовая зависимость скорости снижения тока от критической скорости нарастания напряжения (dV/dt)c для симисторов T405, T410, T435

В качестве активной нагрузки может выступать любой электропотребитель, обладающий активным сопротивлением. Например, это может быть электронагреватель, лампочка накаливания и т. п. В течение времени, пока симистор открыт, через сопротивление нагрузки Rload протекает

ток I(Rload), совпадающий по фазе с напряжением сети V(vn). После снятия тока управления и снижения тока нагрузки до нуля симистор закрывается. Так как напряжение сети совпадает по фазе с током нагрузки, после запирания симистора к нему прикладывается нулевое коммутационное напряжение V(vt),

(1)

где f — частота тока, Гц. Активная нагрузка На рис. 4 изображен результат моделирования в программе LTspice процесса коммутации активной нагрузки симистором типа T405-600. Здесь графики напряжения сети V(vn), напряжения на симисторе V(vt) и тока нагрузки I(Rload) отмечены красным, зеленым и синим цветом соответственно.

Рис. 4. Моделирование коммутации активной нагрузки симистором T405-600

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


схемотехника

проектирование

61

которое затем нарастает. Скорость нарастания коммутационного напряжения можно найти по формуле (В/с):

dV/dt = 2pfVRMS√2,

(2)

где VRMS — действующее напряжение сети, В. Для примера воспользуемся формулами (1, 2) и рассчитаем значения скорости снижения тока и нарастания коммутационного напряжения для симистора T405, коммутирующего активную нагрузку. Нагрузка подключается к бытовой сети переменного тока напряжением VRMS = 220 В и частотой f = 50 Гц. Сопротивление нагрузки обеспечивает протекание через симистор максимального для него тока IRMS = 4 А:

dI/dt = (2p504√2)/1000 = 1,78 А/мс, dV/dt = (2p50220√2)/1 000 000 = = 0,098 В/мкс. Согласно справочным данным [4], отсутствие повторного открытия симистора гарантируется, если после снижения тока со скоростью 1,8 А/мс критическая скорость нарастания коммутационного напряжения не превышает 0,1 В/мкс. Расчет показывает, что в случае активной нагрузки данное условие соблюдается. Скорость нарастания коммутационного напряжения ниже критического значения не вызывает каких-то опасений с точки зрения повторного включения. Активно-индуктивная нагрузка Многие широко распространенные нагрузки, такие как обмотка соленоида, обмотка реле, обмотка электродвигателя и т. п., имеют активно-индуктивный характер. На рис. 5 изображен результат моделирования в программе LTspice процесса коммутации активно-индуктивной нагрузки симистором типа T405-600. Здесь графики напряжения сети V(vn), напряжения на симисторе V(vt) и тока нагрузки I(Rload) отмечены красным, зеленым и синим цветом соответственно.

Рис. 5. Моделирование коммутации активно-индуктивной нагрузки симистором T405-600

Примечание. В реальности не существу‑ ет цепей, обладающих чисто активным, ин‑ дуктивным или емкостным сопротивлением. Всегда можно говорить лишь о преобладании какого-либо одного или нескольких видов со‑ противления. При этом другие виды присут‑ ствуют в качестве незначительных паразит‑ ных составляющих. При активно-индуктивной нагрузке между напряжением, приложенным к нагрузке, и током, протекающим в ней, имеется фазовый сдвиг. Этот фазовый сдвиг может достигать 90° в случае чисто индуктивной нагрузки. Если известны величины активной R и индуктивной L составляющих нагрузки, то фазовый сдвиг можно найти по формуле:

j = arctg(2pfL)/R.

Наличие фазового сдвига означает, что после запирания симистора к нему сразу будет приложено некоторое напряжение смещения V S. Величину напряжения смещения можно рассчитать по формуле (В):

VS = VRMS√2sinj.

(4)

Моделирование показывает (рис. 5), что к закрытому симистору кратковременно прикладывается напряжение, превышающее уровень VS. Этот выброс происходит за счет

Рис. 6. Использование RC-демпфера совместно с симистором

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

(3)

энергии, накопленной в индуктивности нагрузки Lload в процессе запирания симистора. Моделирование показывает, что импульс напряжения нарастает со скоростью 650 В/мкс и имеет амплитуду 550 В. Благодаря высокой скорости нарастания коммутационного напряжения реальный симистор будет гарантированно открыт повторно. Повторное открытие симистора можно предотвратить с помощью вспомогательной цепи, состоящей из последовательно включенных конденсатора CS и резистора RS. Эта вспомогательная цепь включается параллельно симистору (рис. 6) и называется демпферной RC-цепочкой. Демпферная цепь позволяет снизить скорость нарастания коммутационного напряжения. В современной технической литературе демпферную RC-цепочку часто называют RC-снаббером (snubber), или просто снаббером. Длительность коммутации симистора на несколько порядков меньше длительности периода колебания в сети переменного тока частотой 50 или 60 Гц. Это позволяет упростить расчет RC-демпфера, считая, что напряжение сети неизменно и равно по величине напряжению смещения VS. В этом случае минимальную емкость конденсатора демпфера можно рассчитать по формуле:

CS ≥ 1/L(VS /(dV/dt))2.

(5)

Конденсатор демпфера CS, вместе с индуктивностью нагрузки Lload, создает колебательный контур, в котором после закрытия симистора могут возникать высокочастотные затухающие колебания. Однако во время этих колебаний скорость изменения напряжения не превышает критического значения, и поэтому симистор останется закрытым. Несмотря на это, за счет колебательного процесса к симистору прикладывается повышенное коммутационное напряжение VM. По своей величине напряжение VM может в 2 раза превысить величину напряжения смещения VS. Это требует использования более высоковольтного симистора. Величину V M можно снизить, www.kite.ru


проектирование

увеличив потери в колебательном контуре, которые определяются суммарным активным сопротивлением:

R = Rload +RS. Сопротивление нагрузки Rload не может быть изменено. Поэтому увеличивать потери можно только за счет повышения сопротивления демпферного резистора R S. Критическую величину резистора RS, которая обеспечивает полное подавление колебаний, можно рассчитать по формуле (Ом):

RS = 2√Lload/CS –Rload.

(6)

При использовании такого резистора пиковая величина коммутационного напряжения VM на тиристоре будет равна напряжению смещения VS. Для меньших значений суммарного сопротивления R максимальную величину коммутационного напряжения можно рассчитать по формуле (В):

VM = VS((1/e0,5bT)+1).

(7)

Коэффициент затухания β определяет относительное затухание свободных колебаний в контуре:

b = R/2Lload.

(8)

Собственную частоту контура можно рассчитать по формуле (Гц):

F0 = 1/(2p√LC).

(9)

Частота затухающих колебаний определяется формулой (Гц):

F = √(2pF0)2–b2/2p.

(10)

Период затухающих колебаний определяется формулой (с):

T = 1/F.

(11)

Самопроизвольное открытие симистора при быстром нарастании напряжения В сети постоянно присутствуют импульсные напряжения, вызываемые молниевыми разрядами и процессами коммутации. Вероятные параметры этих импульсных напряжений оговариваются межгосударственным стандартом ГОСТ 32144-2013. Быстрые изменения напряжения, приложенные к закрытому симистору, проникая через емкость между анодом (A2) и управляющим электродом (G), могут создавать ток управления, достаточный для его самопроизвольного открытия. Максимальная скорость нарастания внешнего напряжения, которая не вызывает открытия симистора, называется критической скоростью нарастания напряжения (dV/dt)cr.

схемотехника

Самопроизвольное открытие симистора из-за превышения критической скорости нарастания напряжения (dV/dt)cr не приводит к его повреждению и поэтому не опасно для симистора. Однако подобные ложные срабатывания могут вызывать проблемы с функциональностью оборудования, в котором установлен симистор. RC-демпфер увеличивает устойчивость симистора к самопроизвольному открытию, при быстром нарастании напряжения. Причем чем ниже величина резистора RS, тем выше устойчивость. Повреждение симистора при кратковременном превышении максимального напряжения В ранее перечисленных случаях могут возникнуть условия, когда к симистору, подключенному к сети и имеющему необходимый запас по напряжению, может быть приложено напряжение, превышающее максимально допустимое. Прежде всего, подобное повышение напряжения может быть вызвано длительными переходными процессами в сети, связанными с естественными или аварийными событиями. Кроме этого, скачки напряжения могут быть получены при коммутации нагрузки, имеющей индуктивную составляющую (активно-индуктивной нагрузки). Если при этом напряжение превысит максимально допустимый уровень VDSM /VRSM, то симистор с большой вероятностью будет необратимо поврежден. Как и в предыдущих случаях, использование RC-демпфера увеличивает устойчивость симистора к кратковременным повышениям напряжения. Это объясняется тем, что внешнее импульсное напряжение прикладывается к симистору через делитель напряжения, верхнее плечо которого образует сопротивление нагрузки, а нижнее — резистор RS. Причем чем ниже величина резистора RS, тем выше устойчивость. Однако, ограничивая скорость нарастания напряжения, RC-демпфер увеличивает вероятность его пробоя в случае перенапряжения. Поэтому RC-демпфер нельзя рассматривать как основное средство защиты от повышенного напряжения. Он может использоваться лишь в качестве дополнительной меры, совместно со специальным ограничителем напряжения — варистором. Выбор минимальной величины сопротивления демпфера Поскольку при снижении величины резистора RS устойчивость симистора к скачкам напряжения увеличивается, то логично было бы просто заменить его перемычкой. Однако исключение резистора R S может привести к повреждению симистора при включении. Объясняется это тем, что первоначально при включении симистора основ-

ной ток начинает протекать вблизи области управления, а затем область проводимости распространяется на всю площадь структуры. Соответственно, если скорость нарастания тока превысит критическое значение dI/dt, это вызовет локальный перегрев и тепловой пробой полупроводниковой структуры симистора [5]. Основным источником быстро нарастающего тока является RCдемпфер (рис. 6). Если конденсатор демпфера CS заряжен, то после включения симистора он разрядится через последовательно включенные симистор и резистор RS. При этом резистор RS должен иметь достаточно высокое сопротивление, позволяющее ограничить амплитуду и скорость нарастания разрядного тока. Примерные минимальные величины резистора RC-демпфера, в зависимости от максимального действующего тока симистора, приведены в таблице 1 [2, 3]. При этом максимальное сопротивление RC-демпфера рассчитывается по формуле (6). Таблица 1. Минимальное сопротивление RC-демпфера Номинальное напряжение, В

62

≤230 ≤400 ≤500 ≤690

Действующее значение тока симистора, А ≤1

≤45

≤90

≤180

≤450

≤900

≤1800

620 – – –

47 82 120 –

33 56 68 –

22 33 39 47

12 22 27 33

6,8 15 18 22

6,8 12 15 22

Данные из таблицы 1 для номинального напряжения 230 В хорошо аппроксимируются степенной функцией:

RS = 613,2144IR–0,M65S 28717 Ом.

(12)

Пример расчета RC-демпфера Рассчитаем RC-демпфер для симистора, коммутирующего активно-индуктивную нагрузку, подключенную к бытовой сети переменного тока напряжением VRMS = 220 В и частотой f = 50 Гц. Нагрузка имеет следующие параметры: • активное сопротивление нагрузки: Rload = 66 Ом; • собственная индуктивность нагрузки: Lload = 0,28 Гн. Примечание. Индуктивность нагрузки из‑ меряется на частоте 1–10 кГц, так как обыч‑ но в этом диапазоне находится собственная частота резонанса демпфера и нагрузки. Рассчитаем модуль сопротивления активно-индуктивной нагрузки: 2 z = √Rload +(2pfLload)2 = = √662+(2p500,28)2 = 110 Ом.

Зная напряжение сети и сопротивление нагрузки, рассчитаем ток нагрузки по закону Ома:

IRMS = VRMS /z = 220/110 = 2 А. КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


схемотехника

Таблица 2. Параметры симистора T405-600 Параметр

Единица измерения

Величина

IT

А

4

VDRM/VRRM

В

600

VDSM/VRSM

В

700

IH

мА

10

(dV/dt)cr

В/мкс

20

(dI/dt)c

А/мс

0,9

Примечание

Обычно на 100 В выше VDRM/VRRM

Если (dV/dt)c = = 10 В/мкс

Для такого тока подойдет симистор T405-600 производства фирмы STM [4], рассчитанный на максимальный действующий ток IT = 4 А. Основные параметры симистора перечислены в таблице 2. Определим скорость снижения тока по формуле (1):

ния минимального сопротивления RS к закрытому симистору за счет колебательного процесса будет приложено повышенное напряжение VM. Чтобы определить перенапряжение, рассчитаем коэффициент затухания свободных колебаний по формуле (8):

b = R/2Lload = (66+270)/(20,28) = 600. Собственную частоту контура можно рассчитать по формуле (9):

F0 = 1/(2p√LC) = = 1/(2p√0,283,310–9) = 5236 Гц. Частота затухающих колебаний определяется формулой (10):

F = √(2pF0)2–b2/2p = = √(2p5236)2–6002/2p = 5235 Гц.

dI/dt = 2pfIRMS√2 = 2p502√2 = = 888 А/с = 0,888 А/мс.

Соответственно период затухающих колебаний определяется по формуле (11):

Так как нагрузка активно-индуктивная, между напряжением и током имеется фазовый сдвиг. Величину этого фазового сдвига можно рассчитать по формуле (3):

T = 1/F = 1/5235 = 1,9110–4 c.

j = arctg(2pfL)/R = = arctg(2p500,28)/66 = 53°. Определим напряжение смещения по формуле (4):

VS = VRMS√2sinj = 220√2sin53° = = 249 В. Чтобы исключить повторное открытие симистора T405-600, скорость нарастания коммутационного напряжения необходимо ограничить на уровне не более 10 В/мкс. В этом случае минимальную емкость конденсатора демпфера можно рассчитать по формуле (5):

CS ≥ 1/Lload (VS /(dV/dt))2 = = 1/0,28(249/(10106))2 = 2,2410–9 Ф.

Теперь рассчитаем максимальную величину коммутационного напряжения по формуле (7):

VM = VS((1/e0,5bT)+1) = –4 = 249((1/e0,56001,9110 )+1) = 484 В. Максимальная величина коммутационного напряжения не вызывает опасений, так как не превышает максимальное рабочее напряжение симистора VDRM /VRRM.

Моделирование RC-демпфера При выборе наилучшего демпфера необходимо исследовать его поведение во всех возможных условиях эксплуатации. Аналитически (путем расчетов) такое исследование провести достаточно сложно. Намного проще искать наилучшее решение при помощи симулятора электронных схем.

Выберем стандартный конденсатор емкостью CS = 3,3 нФ. По формуле (6) рассчитаем максимальную величину сопротивления демпфера RS:

RSmax = 2√Lload/CS–Rload = = 2√0,28/(3,310–9)–66 = 18 кОм. Минимальное сопротивление демпфера найдем при помощи формулы (12):

RSmin = 613,2144IR–0,M65S 28717 = = 613,21444–6528717 = 248 Ом. Для того чтобы увеличить устойчивость симистора к перенапряжениям, выберем минимальное стандартное значение сопротивления демпфера RS = 270 Ом. В случае использоваКОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

Рис. 7. Настройка графика, выводимого в окно плоттера

проектирование

63

Например, при помощи симулятора LTspice. При этом расчетные формулы, предложенные в этой статье, можно использовать для предварительного расчета RC-демпфера. Моделирование условий повторного открытия симистора На рис. 7 изображен результат моделирования скорости нарастания коммутационного напряжения в зависимости от величины демпферного сопротивления RS. Модель симистора T405-600 можно найти на сайте производителя. Цель моделирования заключается в том, чтобы отсеять те величины сопротивления RS, которые приводят к слишком большой скорости нарастания коммутационного напряжения (dV/dt)c > 10 В/мкс, вызывающей повторное открытие симистора. Изменение величины сопротивления RS производится при помощи директивы .STEP: .step param rs list 270 560 1.1k 2.2k 4.3k 8.2k 18k

Сеанс моделирования включает несколько циклов повторения, где в начале каждого цикла сопротивление R S последовательно принимает значения из списка {270 560 1.1k 2.2k 4.3k 8.2k 18k}. После запуска моделирования окно схемного редактора симулятора LTspice уменьшится в 2 раза и на освободившееся место будет вставлено пока пустое окно плоттера. Для настройки вывода в окно плоттера необходимо сделать пять последовательных шагов, изображенных на рис. 7: • подвести курсор к узлу Vt и, как только тот превратится в щуп, щелкнуть левой кнопкой мышки. После этого графики напряжения V(vt) будут выведены в окно плоттера; • в окне плоттера щелкнуть правой кнопкой мышки по названию графика V(vt). После этого откроется окно Expression Editor (редактор выражений); • в окне Expression Editor вместо V(vt) записать d(V(vt)). Эта запись выведет в окно плоттера производную от напряжения V(vt); • нажать кнопку OK. Результаты всех циклов повторения выводятся на общий график в виде веера цветных линий. Чтобы разобрать этот веер, необходимо сначала прикрепить курсор к графику. Для этого щелкаем левой кнопкой мышки по названию графика — d(V(vt)). В окне просмотра появляется курсор в виде пересечения двух пунктирных линий. Чтобы лучше видеть курсор, можно выключить сетку в окне плоттера при помощи комбинации клавиш Ctrl+G. Курсор указывает на актуальный график. Выбрать другой график можно при помощи клавиш управления курсором на клавиатуре: ↑ и ↓. Таким образом выбираем график скорости нарастания напряжения, не превышающий уровня 10 В/мкс, но ближайший к нему. www.kite.ru


64

проектирование

Для идентификации графика, отмеченного курсором, подводим к нему указатель мыши, пока не появится номер курсора, затем давим правую кнопку мышки, что вызывает окно Cursor Step Information. В этом окошке указан номер шага и значение параметра RS = 4,3 кОм (рис. 8). Моделирование показывает, что скорость нарастания коммутационного напряжения (dV/dt)c превышает допустимое значение 10 В/мкс в случае, если RS > 4,3 кОм (график розового цвета). Результаты моделирования зависят от того, насколько корректно модель симистора T405-600 имитирует процесс обратного восстановления закрытого состояния. К сожалению, в справочных данных производители не приводят зависимости тока обратного восстановления от скорости снижения тока (dI/dt)c. Поэтому экспериментальное измерение указанной зависимости и использование ее в модели увеличит достоверность моделирования. Моделирование условий быстрого нарастания напряжения Ранее в статье перечислялись случаи, приводящие к быстрому нарастанию напряжения на закрытом симисторе. В этом плане наибольшую опасность представляют скачки напряжения, вызванные ударами молнии в линии электропередачи. Внутри зданий напряжение такого всплеска может достигать 6 кВ за 1–10 мкс. Очевидно, что никакая разумная демпферная цепочка не в состоянии подавить подобный всплеск до допустимого уровня 600–700 В. Поэтому обычно демпферная цепочка используется совместно с варистором, включенным параллельно сетевому вводу устройства. Варистор, типичный для однофазной сети 220 В, поглощает энергию импульса и фиксирует максимальное напряжение на уровне 600–650 В. На рис. 9 изображен результат моделирования быстрого нарастания напряжения V(vt) на закрытом симисторе. Симистор находится в непроводящем состоянии и поэтому из модели исключен. Источник Vmain генерирует короткий импульс напряжения величиной 650 В и длительностью 50 мкс. Изменение величины сопротивления RS производится при помощи директивы .STEP: .step param rs list 270 560 1.1k 2.2k 4.3k

схемотехника

Рис. 8. Моделирование условий повторного открытия симистора

Рис. 9. Моделирование быстрого нарастания напряжения на симисторе

ряющегося напряжения в закрытом состоянии VDSM/VRSM = 700 В. Условие соблюдается в случае, если сопротивление демпферного резистора RS не ниже 2,2 кОм. В результате моделирование позволило уточнить величину демпферного конденсатора (CS = 10 нФ) и выбрать оптимальный диапазон величин для демпферного резистора (RS = 2,2–4,7 кОм). Производители обычно рекомендуют использовать минимальную величину демпферного резистора. Это позволяет гарантированно избежать проблемы повторного отпирания симистора. Однако при этом требуется использование более высоковольтного симистора. Кроме того, повышается вероятность пробоя симистора при перенапряжениях в сети, вызванных молниевыми разрядами и переходными процессами.

Бездемпферные симисторы Величины 8.2k и 18k исключены на предыдущем этапе моделирования. В процессе моделирования выяснилось, что конденсатора CS емкостью 3,3 нФ недостаточно для снижения напряжения на симисторе до безопасного уровня. Поэтому величина демпферного конденсатора CS была увеличена до 10 нФ. Это позволило опустить пик напряжения на симисторе ниже уровня максимального импульсного неповто-

В последнее время получили широкое распространение бездемпферные симисторы. В данном случае речь идет об электронных приборах ACS/ACST, выпускаемых фирмой ST [7, 8]. ACS/ACST — это симисторные переключатели переменного тока, которые отличаются повышенной устойчивостью к нарастающему напряжению. Кроме того, ACS/ACST имеют ограничитель напряже-

ния, который защищает прибор от перенапряжений, вызываемых индуктивной нагрузкой или переходными процессами в питающей сети переменного тока. По заявлениям производителя, приборы ACS/ACST могут использоваться без внешних защитn ных цепей. Примечание. С более подробными примера‑ ми практического использования симулятора LTspice можно будет познакомится в книге, ко‑ торая выйдет в начале 2022 года.

Литература 1. Евсеев Ю. А., Крылов С. С. Симисторы и их применение в бытовой аппаратуре. М.: Энергоатомиздат, 1990. 2. Богомяков А. А., Голов Н. А., Евсеев Ю. А., Ковалев Ф. И., Кубарев Л. П., Поташников М. Ю., Усачев В. А. Основы силовой электроники. Силовые полупроводниковые приборы. М.: Издательство МГТУ им. Н. Э. Баумана, 2012. 3. AN437. RC snubber circuit design for TRIACs. STMicroelectronics, 2007. 4. T4 series. Datasheet. STMicroelectronics, 2016. 5. Тиристоры. Справочник. М.: Радио и связь, 1990. 6. AN4363. How to select the Triac, ACS, or ACST that fits your application. STMicroelectronics, 2015. 7. AN1172. A logic-level transient-voltage protected AC switch. STMicroelectronics, 2006.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


Реклама


66

проектирование

схемотехника

Продолжение. Начало в № 10’2021

Владимир Макаренко, к. т. н. v.makarenko@vdmais.ua

ADI Precision Studio от компании Analog Devices В статье приведена краткая информация о двух программах по набору веб-инструментов ADI Precision Studio — Precision DAC Error Budget Calculator и Precision ADC Driver Tool. Подробно рассмотрена работа с этими программами. Первая из них позволяет за несколько минут исследовать погрешности выбранного цифро-аналогового преобразователя, а вторая — получить схему подключения АЦП и подробную информацию относительно параметров аналого-цифрового преобразователя. Кроме анализа с помощью веб-инструмента, пользователю предоставляется возможность детально исследовать поведение АЦП с использованием моделирования в программе LTspice.

Программа Precision DAC Error Budget Calculator В составе веб-инструментов ADI Precision Studio [1, 2] предусмотрена программа Precision DAC Error Budget Calculator, позволяющая оценить ошибки формирования сигналов ЦАП различной топологии и назначения. С работой первых из трех программ этого набора инструментов (рис. 1) можно ознакомиться в публикациях [3, 4]. Рассмотрим основные возможности программы Precision DAC Error Budget Calculator, в свою очередь состоящей из четырех подпрограмм (рис. 2) для исследования характеристик ЦАП: • Voltage Output DAC — ЦАП, формирующий напряжение на выходе; • Multiplying DAC — перемножительный ЦАП; • 4-20mA Current Loop DAC — ЦАП для формирования токовой петли 4–20 мА; • Current Source/Sink DAC — ЦАП источника или приемника тока. Нажимая на кнопку Voltage Output DAC, откроем окно Application Parameters (рис. 3), в котором для анализа имеется ЦАП (по умолчанию 20‑разрядный AD5791), схема его подключения и основные параметры. Для анализа доступны все ЦАП компании Analog Devices, формирующие напряжение на выходе. Нажимая на стрелочку в окне Voltage Output DAC (выделенном синим цветом), открываем таблицу для выбора ЦАП (рис. 4), в которой приведены основные параметры цифро-аналоговых преобразователей. В окне Application Parameters можно задать диапазон рабочих температур (Operating

Рис. 1. Набор веб-инструментов ADI Precision Studio

Temperature — по умолчанию –40…+125 °C) и пульсации напряжения источника питания (Power Supply Ripple — по умолчанию 1 мВ). Кроме выбора ИМС ЦАП, можно задать внутренний или внешний источник опорного напряжения, нажав на кнопку в окне External Reference. Для ЦАП, которые не содержат встроенного источника опорного напряжения, функция выбора Internal Reference не активна (рис. 5). В окошке Non-Inverting Amplifier with buffer можно задать конфигурацию цепи формирования опорного напряжения для входа положительного опорного напряжения ЦАП, включающую только буферный усилитель (Bufer) или последовательное соединение неинвертирующего и буферного усилителя (рис. 6). В окне Inverting Amplifier with buffer можно указать конфигурацию цепи формирования опорного напряжения для входа негативного опорного напряжения ЦАП (рис. 7), включающей последовательное соединение инвертирующего и буферного усилителя или исключающей использование отрицательного опорного напряжения (No negative reference). В режиме работы без использования отрицательного опорного напряжения конфигурация схемы изменяется и принимает вид, приведенный на рис. 8. При переходе к следующему шагу (нажать на значок > в правой части экрана) на экран выводится схема подключения ЦАП со значениями параметров элементов схемы и круговая диаграмма, в которой указаны источники погрешностей всей схемы с численными значениями их величины (рис. 9). Как видно на рис. 9, наибольший вклад в погрешность вносит неинвертирующий усилитель — 510 ppm. Если щелкнуть по любому сек-

Рис. 2. Основное окно программы Precision DAC Error Budget Calculator

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


схемотехника

проектирование

67

Рис. 3. Окно со схемой подключения ЦАП

Рис. 4. Таблица выбора ЦАП, формирующих напряжение на выходе

тору, то на экран выводится подробная информация относительно источников погрешностей. На рис. 10 приведен пример расшифровки источников погрешностей неинвертирующего усилителя. Анализируя полученные данные, можно выяснить, какие параметры

Рис. 5. Выбор источника опорного напряжения

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

надо изменить или какую микросхему выбрать в том или ином узле. Изменяя номиналы сопротивлений резисторов, выбранные программой автоматически, источник опорного напряжения и операционные усилители, можно найти варианты оптимальной конфигурации схе-

Рис. 6. Окно выбора схемы подключения источника опорного напряжения к входу положительного опорного напряжения ЦАП

Рис. 7. Окно выбора схемы подключения источника опорного напряжения к входу отрицательного опорного напряжения ЦАП

www.kite.ru


68

проектирование

схемотехника

Рис. 8. Конфигурация схемы при отсутствии источника отрицательного опорного напряжения

мы. Но, как показывает опыт, программа формирует схему практически с наименьшей величиной погрешности. При необходимости можно подобрать другую конфигурацию устройств, что обеспечит параметры, близкие к лучшим. Выбирая в окне DAC Type (рис. 2) для исследования перемножающих ЦАП (Multiplying DAC), откроем окно Application Parameters, приведенное на рис. 11. Как и во время исследования ЦАП с выходом напряжения, в этом окне можно задать диапазон рабочих температур, пульсации напряжения питания и изменить опорное напряжение

Рис. 10. Фрагмент окна с подробной информацией относительно источника погрешностей неинвертирующего усилителя

Рис. 9. Окно отображения параметров схемы и источников погрешностей

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


схемотехника

проектирование

69

Рис. 11. Окно Application Parameters во время исследования перемножающих ЦАП

и способ подключения источника опорного напряжения (рис. 12). Доступно три варианта подключения опорного напряжения: • VOUT = 0 to VREF, Buffered VREF — отрицательное опорное напряжение, которое подается через буферный усилитель; • VOUT = 0 to VREF, Unbuffered VREF — отрицательное опорное напряжение, которое подается непосредственно на вход опорного напряжения ЦАП; • VOUT = 0 to + VREF — положительное опорное напряжение, подаваемое непосредственно на вход опорного напряжения ЦАП. После перехода в окно Circuit Design (рис. 13) открывается схема подключения ЦАП и круговая диаграмма, отображающая

Рис. 12. Выбор источника опорного напряжения

вклад каждого элемента в погрешность преобразования сигнала. Как и во время исследования ЦАП с выходом напряжения, в этом окне можно изменять источник опорного напряжения, инвертирующий буферный усилитель и выходной усилитель.

Выбирая в окне DAC Type (рис. 2) для исследования ЦАП для формирования токовой петли (4-20mA Current Loop DAC), откроем окно Application Parameters (рис. 14). Для исследования доступен только один тип ЦАП — AD5421. Возможно изменение внешнего источника опорного напряжения на внутренний с напряжением 2,5 В. Также внешний резистор RSET может быть заменен на внутренний. В окне Circuit Design (рис. 15) открывается схема подключения ЦАП и круговая диаграмма, отражающая влияние ЦАП, источника опорного напряжения и резистора RSET на погрешность преобразования сигнала. Последний тип ЦАП, доступный

Рис. 13. Окно Circuit Design во время анализа работы перемножающего ЦАП

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

www.kite.ru


70

проектирование

схемотехника

Рис. 14. Окно Application Parameters при исследовании ЦАП для формирования токовой петли

для анализа, — это ЦАП с формированием на выходе тока Current Source/Sink DAC (рис. 16). Как и во всех других ЦАП, можно выбрать внешний или внутренний источник опорного напряжения, задать диапазон рабочих температур, пульсации напряжения источника питания и выходной ток (рис. 16). В окне Circuit Design (рис. 17) также можно просмотреть погрешности формирования тока в ppm или в процентах до полной шкалы (Percentage of FullScale). Использование программы Precision DAC Error Budget Calculator позволяет быстро найти лучший вариант подключения выбранного цифро-аналогового преобразователя.

Программа Precision ADC Driver Tool Еще одна программа пакета — Precision ADC Driver Tool, предназначенная для анализа работы АЦП и влияния характеристик драйверов на характеристики АЦП. При выборе этой программы открывается окно Circuit (рис. 18). В этом окне можно: • выбрать тип аналого-цифрового преобразователя (по умолчанию это AD4021 с драйвером ADA4807 2), задать частоту дискретизации (Sample Rate) и значение опорного напряжения VREF;

Рис. 15. Окно Circuit Design во время анализа работы ЦАП для формирования токовой петли

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


схемотехника

проектирование

71

Рис. 16. Окно Application Parameters при исследовании ЦАП для формирования тока

• выбрать микросхему драйвера, задать коэффициент усиления, значение сопротивления резистора в цепи обратной связи (Rf) и значение напряжения питания +Vs и –Vs; • задать схему включения АЦП с дифференциальным или несимметричным входом. По умолчанию выводится схема с дифференциальным входом. Схема с несимметричным входом приведена на рис. 19. Также задается частота (Frequency) и амплитуда (Vin) входного сигнала и значения напряжения смещения (Vcm); • задать значения номиналов элементов фильтра нижних частот Rext и Cext.

После перехода в окно Noise & Distortion на экран выводятся значения общего коэффициента гармоник (THD) на заданной частоте входного сигнала, эффективное количество двоичных разрядов (ENOB), отношение сигнал/шум плюс искажения (SINAD) и отношение сигнал/шум (SNR), а также графики зависимости коэффициента гармоник от частоты при различных вариантах конфигурации схемы (рис. 20). При переходе в окно Noise & Distortion на экране отображается зависимость спектральной плотности шума от частоты для заданной конфигурации схемы. Изменяя значение частоты дискретизации, напряжения питания и параметров элементов драйвера, мож-

Рис. 17. Окно Circuit Design во время анализа работы ЦАП для формирования тока

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

www.kite.ru


72

проектирование

схемотехника

Рис. 18. Окно Circuit программы Precision ADC Driver Tool при дифференциальном входном сигнале

Рис. 19. Конфигурация схемы при отсутствии источника отрицательного опорного напряжения

но наблюдать, как меняется характер шума и отношение сигнал/шум в реальном времени. Задержка составляет не более 1 с. Поскольку значения элементов фильтра нижних частот, состоящего из Rext и Cext, существенно влияют на полосу пропускания АЦП, то, соответственно, будут изменяться и такие параметры, как динамический диапазон и коэффициент нелинейных искажений. Полоса

пропускания ФНЧ тоже влияет на уровень шума в рабочем диапазоне частот. Информация, выводимая на этом этапе исследования, является ключевой для окончательного выбора конфигурации схемы. При переходе в окно Input Settings (рис. 21) на экран выводятся: • значение периода дискретизации АЦП (ADC Sample Period); • время преобразования сигнала (ADC Conversion Time); • время, в течение которого АЦП может считывать входные данные (ADC Acquisition Time); • полоса пропускания ФНЧ (RC Filter Bandwidth); • значение единицы младшего разряда (1 LSB). Кроме того, выводится график изменения сигнала во времени при подаче на вход АЦП постоянного (DC Input Signal) или переменного (AC Input Signal) напряжения. Последнее окно (рис. 22) приложения (Next Steps) дает возможность пользователю загрузить комплект моделей для LTspice для анализа работы схемы (Download LTspice Simulation), а также скачать полный отчет о параметрах АЦП в формате .pdf (Download Summary PDF). В архиве с комплектом моделей содержатся файлы: • Kickback simulation schematic for AD4021 and ADA48072.asc для анализа переходных процессов; • Noise simulation schematic for AD4021 and ADA48072.asc для анализа зависимости шума от частоты (рис. 23); • Operating Range simulation schematic for AD4021 and ADA48072.asc для анализа диапазона входного напряжения. Помимо файлов моделей, в архиве содержатся необходимые для анализа библиотечные элементы. Наличие библиотечных элементов и файлов моделей позволяет провести детальное исследование свойств схемы: увидеть осциллограммы сигналов в процессе выбор-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


схемотехника

проектирование

73

Рис. 20. Окно отображения параметров АЦП в выбранной конфигурации

Рис. 21. Окно отображения погрешностей АЦП в выбранной конфигурации

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

www.kite.ru


проектирование

74

схемотехника

Рис. 22. Последнее окно программы Precision ADC Driver Tool

ки/хранения на инвертирующем и неинвертирующем входах АЦП, оценить нелинейные искажения, вносимые микросхемами драйвера при разных уровнях входного сигнала, получить график зависимости спектральной плотности шума от частоты. Это позволяет вернуться при необходимости к онлайн-режиму и внести коррективы в схему по результатам моделирования. Кроме того, библиотека LTspice может быть дополнена как новыми элементами, так и примерами их применения. Использование программы Precision ADC Driver Tool позволяет быстро проанализировать параметры выбранного АЦП и влияние на его параметры различных компонентов схемы. Таким образом, удается ускорить выбор как АЦП, так и конфигурации схемы его включения. n

новости

Рис. 23. Результаты анализа зависимости шума на выходе АЦП от частоты

Литература 1. www.tools.analog.com/en/precisionstudio/ 2. www.betatools.analog.com/DACErrorBudget/ 3. Макаренко В. ADI Precision Studio от компании Analog Devices // Компоненты и технологии. 2021. № 10. 4. Макаренко В. ADI Precision Studio от компании Analog Devices // Компоненты и технологии. 2021. № 11.

дисплеи

5,5‑дюймовый графический OLED-дисплей с разрешением 256×64 точек с емкостным сенсорным экраном Компания Raystar Optronics, Inc. начала выпуск графических дисплеев OLED с разрешением 256×64 пикселей с установленным емкостным сенсорным экраном. Монтаж кристаллов драйверов, пассивных компонентов и вспомогательных схем осуществляется на фольгированной гибкой пленке (технология COF — Chip on Flex). Эта технология позволяет получать более компактную конструкцию модулей с меньшим весом. В данном случае носитель является и переходным кабелем между дисплеем OLED и процессорным модулем. Рабочая площадь экрана (площадь изображения на экране) 135,65×33,89 мм (размер диагонали 5,5″). Емкостный сенсорный экран управляется встроенной микросхемой GT911, распознающей одну точку касания. Дополнительная печатная плата в конструкции дисплея помогает пользователям экономить время на разработке собственной платы. К тому же имеется дополнительная рамка с четырьмя резьбовыми отверстиями для быстрого монтажа дисплея. Дисплейный модуль управляется микросхемой контроллера SSD1322, которая поддерживает стандартные параллельные интерфейсы 8080/6800, а также четырех- и трехпроводной последовательный полнодуплексный интерфейс SPI (Serial Peripheral Interface), в котором заложена концепция обмена данными «ведущий/ведомый».

Дисплеи характеризуются высокой контрастностью 10 000:1, что выделяет оптические характеристики по сравнению с традиционными дисплеями. Диапазон напряжения питания логической части 2,8–3,3 В, типичное значение 3,3 В. Диапазон рабочей температуры –20…+70 °C, температурный диапазон хранения –30…+80 °C. Предлагаются модели с двумя цветами свечения экрана: зеленым и желтым. И наконец, в конструкции поддерживается формирование полутонового изображения на экране способом широтно-импульсной модуляции (ШИМ). Поддержка метода обеспечивается аппаратно в структуре драйвера столбцов. По шине данных для каждого пикселя передается 4‑битовый код, которому соответствует 16 градаций шкалы серого.

Дисплеи серии REN025664D-CTP оптимальны для применений в промышленном, медицинском оборудовании, в устройствах интеллектуального дома, инструментальных панелях и т. д. Основные характеристики: • габаритные размеры: 147×59×4,8 мм; • видимая область экрана: 135,65×33,89 мм; • рабочая площадь экрана: 145,08×20,64 мм; • размер пикселя: 0,5×0,5 мм; • шаг пикселя: 0,53×0,53 мм; • формат дисплея: 256×64 пикселей; • режим мультиплексирования: 1/64; • цвет свечения: желтый и зеленый; • размер экрана по диагонали: 5,5″; • интерфейс управления сенсорным экраном: I2C. www.prosoft.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021



проектирование

76

САПР

Проектирование схем микроэлектронных устройств с использованием клавиатуры в Proteus

Татьяна Колесникова beluikluk@gmail.com

Введение В процессе разработки электронных средств очень часто возникает потребность в имитации работы многокнопочной матричной клавиатуры, представляющей набор расположенных в определенном порядке клавиш, которые используют для ввода информации или управления каким-либо устройством. Это всевозможные пульты управления, кодовые замки, телефонные тастатуры и прочие наборы кнопок, образующих матрицу nm (то есть таблицу) из нескольких столбцов (n) и строк (m). Определенное количество кнопок располагают в нужном порядке и соответственно соединяют. Обычно такие матрицы подключают к цифровым дешифраторам или портам микроконтроллера для определения конкретной нажатой кнопки. Соединение

Рис. 1. Структурная схема матричной клавиатуры

В статье рассмотрены возможности программы Proteus 8.11 по проектированию схем микроэлектронных устройств с использованием матричной клавиатуры размером 4×4, 2×4, 1×4, сформированной на основе самовозвратных кнопок и кнопок с фиксацией. Описана работа с моделями телефонной и калькуляторной клавиатуры. Приведены примеры моделирования схем, имитирующих подключение клавиатуры к микроконтроллерам AT90S8515 и ATmega8, компиляция программы инициализации которых выполнена в CodeVisionAVR. Подробно описано подключение клавиатуры к микроконтроллеру по параллельному интерфейсу и отображение на экране буквенно-цифрового дисплея LM016L нажатых клавиш (которые визуализируются соответствующими цифрами, буквами или символами) или их кода.

кнопок матрицей позволяет сократить число выводов, необходимых для подключения к микроконтроллеру. Каждая кнопка — это область пересечения токопроводящих дорожек. При нажатии на кнопку происходит соединение дорожек и замыкание одного из выводов строк с одним из выводов столбцов. При проектировании схемы микроэлектронного устройства в Proteus можно собрать матричную клавиатуру из имеющихся в библиотеке моделей кнопок или воспользоваться моделями клавиатуры, среди которых телефонная и калькуляторная.

поступит в горизонтальную линию и по ней на выходной регистр. Проверив состояние выходного регистра, контроллер может идентифицировать строку, а вместе со столбцом и номер замкнутой кнопки. С помощью последовательности сканирующих кодов вида 1110, 1101, 1011, 0111 можно опросить состо-

Взаимодействие микроконтроллера с клавиатурой Типовым примером взаимодействия микроконтроллера с внешними устройствами по параллельному интерфейсу является обмен данными со стандартными устройствами ввода/вывода — матричной клавиатурой и дисплеем. Клавиатура используется для ввода данных в устройство и представляет собой кнопочный блок, в котором кнопки размещены в виде матрицы на пересечении горизонтальных и вертикальных линий связи (рис. 1). Один ряд линий, например вертикальных, подключают к входному регистру, другой ряд (горизонтальных) — к выходному регистру. На входной регистр из контроллера подают код, содержащий ноль в одном разряде и единицы во всех остальных. При замыкании кнопки вертикального ряда, на котором присутствует сигнал «0», этот сигнал

Рис. 2. Алгоритм опроса клавиатуры размером n×m

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


САПР

а

проектирование

77

в

б

Рис. 3. Модели клавиатуры из раздела Keypads библиотеки Switches&Relays программы Proteus: а) KEYPAD-CALCULATOR; б) KEYPAD-PHONE; в) KEYPAD-SMALLCALC

яние всех столбцов клавиатуры и установить номер замкнутой кнопки. Используя его как индекс, можно выбрать из таблицы переходов начальный адрес процедуры, выполняемой при замыкании соответствующей кнопки. На рис. 2 приведен алгоритм опроса клавиатуры размером nm и определения номера замкнутой кнопки. Перед началом цикла опроса устанавливают начальное значение m‑разрядного сканирующего кода и параметров циклов. Счетчик проверяемых кнопок (num) сбрасывается в исходное состояние «0». Алгоритм опроса клавиатуры представляет собой циклическую процедуру с вложенным циклом. Во внешнем цикле выполняется вывод сканирующего кода на входной регистр клавиатуры, затем ввод с выходного регистра слова состояния выбранного столбца клавиатуры. Внутренний цикл охватывает сдвиг считанного слова влево на один разряд с последующим анализом выдвинутого бита. При выполнении операции сдвига в микроконтроллере выдвигаемый бит попадает на флаг С. При С = 0 идентифицируется замыкание кнопки с номером, значение которого определено переменной num, и выход из цикла. При С = 1 значение num увеличивается на единицу, повторяется сдвиг и новая проверка флага С. Если внутренний цикл не выявил замыкания (С = 1), выполняется выход из внутреннего цикла, сдвиг сканирующего кода влево на один разряд и повторение описанных действий. Как видно из алгоритма, его легко адаптировать к клавиатуре любой размерности, изменяя параметры циклов (n, m). Клавиатура, не имеющая входного и выходного регистров, может быть непосредственно подключена к выходному и входному портам микроконтроллера соответственно. Клавиатуру небольшой размерности 44 можно подключить к одному 8‑разрядному порту, одна половина которого программируется на вывод, другая — на ввод данных. Подключенная матрица последовательно опрашивается микроконтроллером, что позволяет резко снизить количество нужных выводов ценой усложнения алгоритма

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

опроса. В качестве резисторов, устанавливаемых в горизонтальных линиях клавиатурной матрицы, используют подтягивающие резисторы разрядов порта микроконтроллера, работающих на ввод. Следует иметь в виду, что в разных конструкциях клавиатур масса и габариты кнопок могут сильно различаться. Из-за этого при замыкании и размыкании возникают импульсные помехи — дребезг контактов, который продолжается 20 мс и более в зависимости от типа кнопки. Для борьбы с помехами клавиатуры могут быть выполнены со схемами подавления дребезга контактов в виде триггеров. При отсутствии подобных средств можно программными средствами предусмотреть блокировку от ложных срабатываний, например повторив опрос состояния спустя 20 мс для подтверждения факта замыкания. Процедура опроса клавиатуры осуществляется периодически через определенный интервал времени, например через 50 мс, либо по сигналу запроса, формируемому клавиатурой при замыкании любой из кнопок. Первый способ можно реализовать, настроив таймер микроконтроллера на отсчет временного интервала, определяющего период опроса. Второй способ можно реализовать в тех микроконтроллерах, порты которых формируют запрос прерывания при изменении состояния порта, как, например, в микроконтроллерах серии Tiny. Если порты не обладают таким свойством, можно в качестве драйвера применить специальную микросхему типа 74С922 фирмы National Semiconductor, которая при подключении к клавиатуре 44 формирует 4‑разрядный код замкнутой кнопки, подавляет дребезг и формирует запрос для микроконтроллера. В представленных далее примерах взаимодействие микроконтроллера с дисплеем и клавиатурой размером 44 и 34 без дополнительного драйвера, присоединенной непосредственно к одному из портов микроконтроллера, осуществляется под управлением программы инициализации микроконтроллера. Процедура опроса клавиатуры

в программе запускается сигналом прерывания от таймера. В Proteus клавиатура находится в разделе Keypads библиотеки Switches & Relays и представлена следующими моделями: KEYPADCALCULATOR (рис. 3а), KEYPAD-PHONE (рис. 3б), KEYPAD-SMALLCALC (рис. 3в). Также клавиатуру можно собрать, используя отдельные кнопки, которые находятся в разделе Switches библиотеки Switches & Relays (рис. 4). Кнопка — это пара металлических контактов, которые, соприкасаясь, электрически соединяют два вывода. В рассмотренных примерах для формирования матрицы клавиатуры используются кнопки с фиксацией и самовозвратные. Кнопки с фиксацией — переключатели, которые могут находиться в одном из двух состояний (открытом или закрытом). Такие кнопки размыкают контакты и возвращаются в исходное положение только при повторном нажатии. Самовозвратный вариант исполнения кнопок действует путем выталкивания кнопки в первоначальное состояние после ее нажатия. Кнопка с фиксацией остается нажатой после отпускания, без фиксации — отключается.

а

б Рис. 4. Модели кнопок из раздела Switches библиотеки Switches & Relays программы Proteus: а) кнопка с фиксацией SWITCH; б) самовозвратная кнопка BUTTON

www.kite.ru


78

проектирование

В статье рассмотрена работа с клавиатурами разных типов, подключенными к микроконтроллеру AVR. В качестве устройства отображения информации используется буквенно-цифровой дисплей. При проектировании устройства, работающего под управлением микроконтроллера AVR, написание программы инициализации и ее компиляцию удобно выполнить с помощью CodeVisionAVR 3.12 (интегрированной среды разработки программного обеспечения для микроконтроллеров семейства AVR фирмы Atmel, которая имеет в своем составе компилятор языка С для AVR). CodeVisionAVR поддерживает все базовые конструкции языка С, использующиеся при написании программ (алфавит, константы, идентификаторы, комментарии) и разрешенные архитектурой AVR, с некоторыми добавленными характеристиками, реализующими преимущество специфики архитектуры AVR. Используя специальные директивы, в любом месте программы можно включить ассемблерный код. Программные средства позволяют напрямую обращаться к регистрам микроконтроллера и управлять состоянием линий портов. Формирование программного кода в CodeVisionAVR выполняют при помощи автоматического генератора CodeWizardAVR (для быстрого получения кода, который требует редактирования) или вручную с нуля, применяя синтаксис языка программирования С и функции стандартных библиотек программы.

Опрос матричной клавиатуры в Proteus Формирование матричной клавиатуры на основе самовозвратных кнопок Зачастую свободных выводов микроконтроллера недостаточно для подключения необходимого количества кнопок. При непосредственном соединении для n кнопок надо выделить столько же линий ввода/вывода, что не всегда возможно. Для более рационального использования линий портов

САПР

Рис. 5. Сопряжение микроконтроллера ATmega8 с устройством вывода информации и матричной клавиатурой размером 4×4, собранной на основе самовозвратных кнопок, в рабочей области редактора Schematic Capture программы Proteus

можно воспользоваться матричной схемой подключения. Рассмотрим схему, которая демонстрирует работу микроконтроллера ATmega8 с матричной клавиатурой размером 44, собранной на основе 16 одиночных самовозвратных кнопок. Микроконтроллер определяет нажатую кнопку и выводит присвоенное ей значение на экран буквенно-цифрового дисплея LM016L. Для соединения с внешними устройствами используются порты микроконтроллера (РВ — для передачи команд/данных и управляющих сигналов в микросхему дисплея, PD — для взаимодействия с клавиатурой). Соберем схему, для чего добавим ее компоненты в рабочую область редактора Schematic Capture и соединим их так, как показано на рис. 5. Выбор компонентов из базы данных для последующего размещения в рабочей области редактора Schematic Capture программы Proteus выполняют в окне Pick Devices, которое открывают командой контекстного меню

а

Place/Component/From Libraries или нажатием кнопки P на панели DEVICES (по умолчанию панель расположена в левой части программы и содержит список имеющихся в проекте компонентов). Открывают панель DEVICES нажатием кнопки Component Mode на левой панели инструментов схемного редактора. Для добавления микросхемы микроконтроллера (рис. 6а) в рабочее поле проекта в левой верхней части окна Pick Devices в поле Category щелчком левой кнопки мыши выбирают из списка библиотеку Microprocessor ICs. Пакет Microprocessor ICs позволяет включать в эмуляцию смешанной схемы определенные микроконтроллеры с возможностью написания и отладки программного кода. В поле Sub-category таким же способом задают семейство микроконтроллеров выбранной библиотеки (в нашем примере AVR Family). Все компоненты семейства отображаются в поле Showing local results. После выбора микроконтроллера (в нашем примере это микро-

б

Рис. 6. Разделы: а) AVR Family библиотеки Microprocessor ICs; б) Alphanumeric LCDs библиотеки Optoelectronics

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


САПР

проектирование

79

в Рис. 7. Открытие с помощью кнопки Terminals Mode панели TERMINALS и выбор символа питания

г

д

Рис. 6. Разделы: в) Switches библиотеки Switches & Relays; г) 0.6W Metal Film библиотеки Resistors; д) Rectifiers библиотеки Diodes

схема ATmega8) его условное графическое обозначение отобразится в поле предварительного просмотра Preview. После нажатия кнопки ОК окно Pick Devices будет закрыто, а символ компонента прикреплен к курсору мыши, при помощи которого его помещают в нужное место на схеме щелчком левой кнопки мыши. Аналогичным образом добавим в рабочее поле проекта из раздела Alphanumeric LCDs библиотеки Optoelectronics (рис. 6б) микросхему буквенно-цифрового дисплея LM016L разрешением 16 символов на две строки [3], которая в представленном примере работает в 4‑разрядном режиме [4]. Для подключения микросхемы LM016L к схеме управления используется параллельная синхронная шина данных/команд (D0–D7), вывод выбора операции чтения/записи (RW), вывод выбора регистра данных/команд (RS) и вывод синхронизации (Е). Подсоединим выводы модуля дисплея D4–D7 к выводам PВ4–PВ7, а выводы RS, RW и E — к выводам PВ0–PВ2 микроконтроллера ATmega8 так, как показано на рис. 5. Выводы Vss и Vdd подключим к «земле» и напряжению +5 В соответственно. На вывод Vee подается напряжение контрастности (0…+5 В). На практике этот вывод подключают к питанию через под-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

строечный резистор, который позволяет плавно регулировать контрастность отображения символов на дисплее. Символы «земли» и питания добавляют в схему, выбрав на панели TERMINALS (рис. 7) строки GROUND и POWER. Панель открывают нажатием кнопки Terminals Mode на левой панели схемного редактора. Выбор линий портов микроконтроллера для подключения к указанным выводам дисплея выполняется разработчиком произвольно. В окне свойств дисплея (окно открывают двойным щелчком левой кнопки мыши после его выделения на схеме) в поле Advanced Properties из выпадающего списка выбирают пункт Clock Frequency (тактовая частота) — рис. 8а, значение которой должно совпадать с частотой работы микроконтроллера (в нашем примере 2 МГц). В окне свойств микроконтроллера (окно открывают двойным щелчком левой кнопки мыши по его символу на схеме) установим следующие параметры (рис. 8б): • поле Advanced Properties — значение тактовой частоты микроконтроллера Clock Frequency (в нашем примере 2 МГц); • поле RSTDISBL (Disable reset) — (1) Unprogrammed; • поле WDTON (Enable watchdog) — (0) Programmed; • поле CKOPT (Oscillator Options) — (1) Unprogrammed; • поле BOOTRST (Select Reset Vector) — (1) Unprogrammed; • поле CKSEL Fuses — (0001) Int.RC 1MHz; • поле Boot Loader Size — (00) 1024 words. Starts at 0x0C00; • поле SUT Fuses — (00); • поле Program File — путь к .hex (или .cof) файлу на диске компьютера. Добавим в рабочую область проекта 16 кнопок BUTTON (рис. 6в), четыре резистора MINRES100R из раздела 0.6W Metal Film библиотеки Resistors (рис. 6г), четыре диода 1N4007 из раздела Rectifiers библиотеки Diodes (рис. 6д) и соединим их проводниками так, как показано на рис. 5. Как видно на рис. 5, матрица из 16 кнопок размером 44 (четыре строки и четыре столбца) подключена к порту РD микроконтроллера. Линии PD0–PD3 подсоединены к строкам клавиатуры str0–str3, всегда настроены на ввод и подтянуты к шине питания резисторами сопротивлением 10 кОм. С этих линий и производится считывание состояния кнопок клавиатуры. Сопротивление резистора задают в поле Resistance окна его свойств (рис. 8в), которое открывают двойным щелчком левой кнопки мыши по компоненту на схеме. На линиях PD4–PD7, подключенных к столбцам st0–st3 клавиатуры, поочередно формируется сигнал «лог. 0». Первоначально низкий уровень устанавливается на столбце st0, а на всех остальных столбцах при этом Z‑состояние. Теперь только при нажатии кнопок этого столбца (1–4) на линиях строк str0–str3 может возникнуть низкий логический уровень. Далее «лог. 0» выставляется на столбце st1 и сканируется группа кнопок 5–8 и т. д. Такой же алгоритм опроса кнопок применяется и для любой другой матричной клавиатуры независимо от числа строк и столбцов. В общем случае количество кнопок n является произведением числа строк и столбцов. Например, матрица 22 (4 линии) будет содержать четыре кнопки. Но, с другой www.kite.ru


80

проектирование

САПР

в а

б

Рис. 8. Окно свойств: а) микросхемы LM016L; б) микроконтроллера ATmega8; в) резистора R1

стороны, столько же линий ввода/вывода понадобится и для непосредственного подключения того же числа кнопок. Таким образом, экономия выводов начинает проявляться при числе кнопок, превышающем 4–6, и становится еще более существенной с ростом их количества. Элементы VD1–VD4 и R1–R4 не являются обязательными на схеме. Диоды нужны, чтобы защитить входы микроконтроллера, если нажато несколько кнопок одновременно. Их применяют для защиты от короткого замыкания между линиями строк и столбцов. Если, например, при нажатии на кнопку 1 (в момент сканирования столбца st0) линия строки str0 вдруг окажется настроенной на вывод и на ней будет высокий логический уровень, то по цепи st0str0 начнет протекать недопустимо большой ток. Хотя логика программы не допускает такого режима работы, по разным причинам это все-таки может произойти. Поэтому по крайней мере при отладке программы диоды не будут лишними. Если емкость монтажа, приведенная к выводам PD0–PD3, не слишком велика, то сопротивления подтяжки к шине питания вполне можно заменить внутренними подтягивающими резисторами. Для этого необходимо установить соответствующие разряды в регистре PORTD, когда линии настроены на ввод. В программе опрос кнопок удобно выполнить независимо от основного цикла через равные промежутки времени, что можно реализовать, используя прерывание таймера/счетчика по совпадению (в нашем примере применен 8‑разрядный таймер/счетчик 2 — Т2). Разрядность определяет момент переполнения счетчика (возврат в нулевое состояние). Так, для 8‑разрядного счетчика переполнение наступает, когда счет достигает 255. Для управления Т2 используют регистры ASSR, TCCR2, TCNT2, OCR2, TIMSK. Режим работы таймера/счетчика определя-

ется состоянием битов WGM21, WGM20 регистра TCCR2. Регистр OCR2 — 8‑разрядный регистр сравнения. Его значение в каждом цикле сравнивается со значением счетного регистра TCNT2, и в случае совпадения дается указание таймеру выполнять какие-либо действия (например, вызывать прерывание, менять состояние вывода OC2 и т. д.) в соответствии с командами программы инициализации микроконтроллера. Прерывание представляет собой определенное событие, при наступлении которого приостанавливается выполнение основной программы и происходит переход к соответствующей подпрограмме обработки прерывания. Таймер/счетчик Т2 может вызывать прерывания при следующих условиях: • переполнение счетного регистра TCNT2; • совпадение значения счетного регистра со значением регистра сравнения OCR2. Управление разрешением/запретом прерываний выполняется с помощью битов TOIE2 и OCIE2 регистра TIMSK, которые зарезервированы для таймера Т2. Регистр TIMSK — общий регистр для всех трех таймеров микроконтроллера ATmega8. Комбинация значений битов TOIE2 (6 бит регистра TIMSK) = 0, OCIE2 (7 бит регистра TIMSK) = 1 разрешает прерывание по событию совпадение, что в нашем примере реализовано командой TIMSK=0x80; (шестнадцатеричное значение 80 соответствует двоичному значению 10000000). Необходимо отметить, что прерывания могут активизироваться только тогда, когда в регистре состояния SREG разрешены глобальные прерывания, что задается командой #asm("sei"). В случае наступления прерывания флаг глобального разрешения прерываний автоматически сбрасывается в «0», запрещая все прерывания, пока не произойдет выход из обработчика прерывания. В программах, предназначенных для компилятора CodeVisionAVR, обработку пре-

рываний реализуют в отдельных функциях. При этом перед объявлением каждой такой функции указывают ключевое слово interrupt, а после него в квадратных скобках — номер соответствующего вектора прерывания в виде числа или стандартного макроопределения, обозначающего тип прерывания (в нашем примере — [TIM2_COMP]). Следующая функция — обработчик прерывания от таймера Т2, то есть перечень действий, которые надо совершить в момент его возникновения. Описывается функция следующим образом: // Если произошло прерывание по совпадению таймера Т2 interrupt [TIM2_COMP] void timer2_comp_isr(void) { // Действия, которые надо совершить }

Когда таймер сделает заданное количество тиков, основная программа будет приостановлена и выполнится код опроса, записанный отдельно от основного цикла. В нашем примере за одно прерывание нужно опросить четыре столбца, для этого сформируем цикл for, переменная i которого хранит номер опрашиваемого столбца: int i=0; interrupt [TIM2_COMP] void timer2_comp_isr(void) { for (i=0; i<4; i++) { } }

Для переключения столбцов создадим массив, в котором будут заранее сконфигурированы состояния регистра данных PORTD. Эти значения по очереди записываются в порт РD: int i=0; char portState[4]= {0xEF,0xDF,0xBF,0x7F}; interrupt [TIM2_COMP] void timer2_comp_isr(void) { for (i=0; i<4; i++) { PORTD=portState[i]; } }

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


САПР

Рис. 9. Создание нового проекта в CodeVisionAVR

В течение каждого переключения необходимо организовать проверку строк с целью поиска нажатой кнопки путем опроса 0–3 разрядов регистра PIND. Для этого сформируем еще один цикл for, переменная j которого хранит номер строки. int i=0,j=0; char portState[4]= {0xEF,0xDF,0xBF,0x7F}; interrupt [TIM2_COMP] void timer2_comp_isr(void) { for (i=0; i<4; i++) { PORTD=portState[i]; for (j=0; j<4; j++) { } }}

Опрос входа реализуем с помощью условия if(((PIND&inputState[j])==0)). При этом необходимо создать массив, в котором хранятся номера выводов 0–3: char inputState[4]={0x01,0x02,0x04,0x08};

Для хранения символов кнопок создадим массив char symbol[4][4]={{'1','2','3','4'}, {'5','6','7','8'}, {'9','A','B','C'}, {'D','E','F','G'}};

После нажатия кнопки запускается пустой бесконечный цикл, который выполняется до тех пор, пока кнопка не будет отжата. Это позволит выводить символы по одному на экран дисплея. Для отображения значений нажатых кнопок клавиатуры на экране буквенноцифрового дисплея напишем программу инициализации микроконтроллера на языке С с применением стандартных функций CodeVisionAVR. Текст программы сканирования матричной клавиатуры размером 44: // Подключение заголовочных файлов, в которых содержатся // прототипы функций #include <mega8.h> #include <lcd.h>

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

проектирование

81

Рис. 10. Окно выбора директории размещения нового проекта Create New Project

#asm .equ __lcd_port=0x18 // для подключения LCD-дисплея используем порт РВ #endasm int i=0, j=0; // состояния регистра данных PORTD // двоичные значения массива 11101111, 11011111, 10111111, 01111111 char portState[4]= {0xEF,0xDF,0xBF,0x7F}; // массив номеров выводов от 0 до 3 // двоичные значения массива 0001, 0010, 0100, 1000 char inputState[4]={0x01,0x02,0x04,0x08}; // массив символов кнопок клавиатуры char mass2[4][4]={{'1','2','3','4'}, {'5','6','7','8'}, {'9','A','B','C'}, {'D','E','F','G'}}; // функция обработки прерывания от таймера Т2 interrupt [TIM2_COMP] void timer2_comp_isr(void) { for (i=0; i<4; i++) { PORTD=portState[i]; for (j=0; j<4; j++) { if (((PIND&inputState[j])==0)) { while ((PIND&inputState[j])!=inputState[j]){}; lcd_putchar(mass2[i][j]); } // вывод символа нажатой клавиши на экран дисплея }}} void main(void) { // инициализация порта РD PORTD=0xFF; DDRD=0xF0; // инициализация таймера Т2 ASSR=0x00; TCCR2=0x0F; TCNT2=0x00; OCR2=0xC3; TIMSK=0x80; // разрешение прерывания по событию совпадение lcd_init(16); // инициализация дисплея #asm("sei") // разрешение глобальных прерываний // бесконечный цикл опроса кнопок клавиатуры while (1) { } }

Новый проект в CodeVisionAVR создают командой основного меню File/New/Project. В процессе создания открывается диалоговое окно Confirm, где система предлагает воспользоваться генератором кода CodeWizardAVR, с помощью которого задают параметры микроконтроллера, его внутренних ресурсов и используемых в схеме периферийных устройств. Удобство применения генератора состоит в быстром получении кода выполнения функций инициализации микроконтроллера и его портов ввода/вывода, аналогового

Рис. 11. Выбор микроконтроллера ATmega8 в окне New Project

компаратора, таймеров/счетчиков, интерфейса UART и SPI, буквенно-цифровых и графических дисплеев и др. Однако в процессе работы мастера формируется достаточно объемный код, который впоследствии приходится редактировать. В нашем примере все настройки будут выполнены вручную программным способом, поэтому от запуска CodeWizardAVR можно отказаться, нажав на кнопку No в окне Confirm (рис. 9). В результате будет открыто окно выбора директории размещения нового проекта Create New Project (рис. 10), где указывают имя проекта (поле «Имя файла») и его тип (поле «Тип файла»). Кнопкой «Сохранить» открывают окно New Project (рис. 11), где в поле Name выбирают микроконтроллер, под управлением которого работает собранная схема (его описание отобразится в поле Device Info). Далее нажатием кнопки ОК открывают окно настройки параметров проекта CodeVisionAVR (Configure Project), переходят на вкладку C Compiler, на которой выбирают закладку Code Genereration (рис. 12), где указывают: • размер стека данных в байтах (поле Data Stack Size) — для компиляции кода в нашем примере значения 512 будет достаточно; www.kite.ru


82

проектирование

Рис. 12. Закладка Code Generation вкладки C Compiler окна настройки параметров проекта CodeVisionAVR, в котором используется микроконтроллер ATmega8

• размер кучи (поле Heap Size) и внутренней (поле Internal RAM Size) оперативной памяти — 0 и 1024 байт соответственно; • тактовую частоту микроконтроллера (поле Clock) — 2 МГц; • модель памяти (поле Memory Model) — Small. Другие параметры оставим без изменений и нажмем на кнопку ОК. В результате чего будет создан новый проект CodeVisionAVR, в окне кода которого и будет вестись дальнейшее написание программы. Введем текст программы в окне кода CodeVisionAVR и запустим командой основного меню Project/Build All компиляцию, по окончании которой выдается отчет о наличии ошибок в коде программы (рис. 13). При этом в группе Headers на панели Code Navigator отобразится список заголовочных файлов с расширением *.h, функции которых применяются в программе. Если ошибки не обнару-

САПР

Рис. 13. Программа сканирования клавиатуры в окне кода CodeVisionAVR и результат ее компиляции

жены, на диске компьютера будут созданы .hexи .cof-файлы для записи в микроконтроллер. Перейдем в редактор Schematic Capture программы Proteus, откроем окно свойств микроконтроллера и в поле Program File укажем путь к файлу прошивки на диске компьютера, полученному в результате компиляции программного кода (при условии отсутствия в коде ошибок). Кнопкой Run the simulation, расположенной в левом нижнем углу окна редактора, или командой основного меню Debug/Run Simulation запустим моделирование собранной схемы, результат которого представлен на рис. 14. При нажатии определенной кнопки клавиатуры (рис. 15) ее значение отображается на экране буквенно-цифрового дисплея (рис. 16). Преобразуем представленную на рис. 5 схему, сформировав матрицу размером 24 (два столбца и четыре строки). Для чего удалим последние два столбца кнопок и соответствующие им диоды D3 и D4 (рис. 17)

и внесем изменения в программу инициализации микроконтроллера. Текст программы сканирования матричной клавиатуры размером 24: #include <mega8.h> #include <lcd.h> #asm .equ __lcd_port=0x18 // для подключения LCD-дисплея используем порт РВ #endasm int i=0, j=0; char portState[2]={0x2F,0x1F}; // линии столбцов char inputState[4]={0x01,0x02,0x04,0x08}; // линии строк // массив символов клавиатуры char mass2[2][4]={{'1','2','3','4'}, {'5','6','7','8'}}; // функция обработки прерывания от таймера Т2 interrupt [TIM2_COMP] void timer2_comp_isr(void) { for (i=0; i<2; i++) { PORTD=portState[i]; for (j=0; j<4; j++) { if (((PIND&inputState[j])==0)) { while ((PIND&inputState[j])!=inputState[j]){}; lcd_putchar(mass2[i][j]); } // вывод символа нажатой клавиши на экран }}} void main(void) { // инициализация порта РD PORTD=0xFF; DDRD=0xF0; // инициализация таймера Т2 ASSR=0x00; TCCR2=0x0F; TCNT2=0x00; OCR2=0xC3; TIMSK=0x80; // разрешение прерывания по событию совпадение lcd_init(16); // инициализация дисплея #asm("sei") // разрешение глобальных прерываний // бесконечный цикл опроса кнопок клавиатуры while (1) { } }

Рис. 14. Результат моделирования схемы матричной клавиатуры размером 4×4 после последовательного нажатия одиннадцати клавиш

Выполним компиляцию программы в CodeVisionAVR, перейдем в редактор Schematic Capture и запустим моделирование собранной схемы, результат которого представлен на рис. 18 и 19.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


САПР

проектирование

83

а

Рис. 17. Сопряжение микроконтроллера ATmega8 с устройством вывода информации и матричной клавиатурой размером 2×4, собранной на основе самовозвратных кнопок

б

в

Рис. 15. Приближенный вид клавиатуры размером 4×4 в рабочем поле схемного редактора: а) до нажатия кнопок; б) после нажатия кнопки А; в) после нажатия кнопки 3

Рис. 18. Результат моделирования схемы матричной клавиатуры размером 2×4 после последовательного нажатия восьми клавиш

а

б Рис. 16. Приближенный вид буквенно-цифрового дисплея в рабочем поле схемного редактора после последовательного нажатия на клавиатуре: а) 6; б) 18 кнопок

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

а

б

Рис. 19. Приближенный вид клавиатуры размером 2×4 в рабочем поле схемного редактора: а) после нажатия кнопки 1; б) после нажатия кнопки 8

www.kite.ru


84

проектирование

САПР

а

Рис. 21. Сопряжение микроконтроллера AT90S8515 с устройством вывода информации и матричной клавиатурой KEYPAD-SMALLCALC размером 4×4 в рабочей области редактора Schematic Capture программы Proteus

а

б б Рис. 20. Выбор в Proteus: а) из раздела Keypads библиотеки Switches&Relays матричной клавиатуры KEYPAD-SMALLCALC; б) из раздела AVR Family библиотеки Microprocessor ICs микроконтроллера AT90S8515

Работа с моделью калькуляторной клавиатуры Рассмотрим схему, которая демонстрирует работу микроконтроллера AT90S8515 с матричной клавиатурой размером 44, чья модель KEYPAD-SMALLCALC находится в разделе Keypads библиотеки Switches&Relays программы Proteus (рис. 20а). Микроконтроллер определяет нажатую кнопку и выводит ее код на экран буквенно-цифрового дисплея LM016L. Для соединения с внешними устройствами используются порты микроконтроллера (РС — для передачи команд/данных и управляющих сигналов в микросхему дисплея, PD — для взаимодействия с клавиатурой). Соберем схему, для чего добавим ее компоненты в рабочую область редактора Schematic Capture и соединим их так, как показано на рис. 21. Добавление микросхемы микроконтроллера (рис. 20б) в рабочее поле проекта выполняют из раздела AVR Family библиотеки Microprocessor ICs. Из раздела Alphanumeric LCDs библиотеки Optoelectronics добавляют

Рис. 22. Окно свойств: а) микроконтроллера AT90S8515; б) резистора R1

микросхему буквенно-цифрового дисплея LM016L, настройку параметров которой выполняют в окне ее свойств Edit Component. В нашем примере в поле Advanced Properties из выпадающего списка выберем пункт Clock Frequency (тактовая частота), значение которой должно совпадать с частотой работы микроконтроллера (4 МГц). В окне свойств микроконтроллера AT90S8515 (окно открывают двойным щелчком левой кнопки мыши по его символу на схеме) установим следующие параметры (рис. 22а): • поле Clock Frequency — значение тактовой частоты микроконтроллера (4 МГц); • поле Advanced Properties — значение частоты сторожевого таймера Watchdog Clock (4 МГц); • поле Program File — путь к .hex (или .cof) файлу на диске компьютера. Добавим в рабочую область проекта символы «земли», питания и четыре резистора и соединим их проводниками, как показано на рис. 21. Для каждого из резисторов в окне

свойств (рис. 22б) укажем в поле Resistance его сопротивление (1k), а в поле Model Type — тип модели (DIGITAL). Для отображения кодов нажатых кнопок клавиатуры на экране буквенно-цифрового дисплея напишем программу инициализации микроконтроллера на языке С с применением стандартных функций CodeVisionAVR. Текст программы сканирования калькуляторной матричной клавиатуры размером 44: #asm .equ __lcd_port=0x15 #endasm #include <lcd.h> #include <stdio.h> #include <delay.h> #include <90s8515.h> #define F_XTAL 4000000L // тактовая частота микроконтроллера #define KEYIN PIND // PIND0..3 — входы строк #define KEYOUT PORTD // PORTD4..7 — выходы столбцов // идентификаторы для инициализации счета таймера/счетчика 0 #define INIT_TIMER0 TCNT0=0x100L-F_XTAL/64L/500L #define FIRST_COLUMN 0x80 #define LAST_COLUMN 0x10

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


САПР

typedef unsigned char byte; unsigned keys; char buf[33]; // функция обслуживания прерывания по переполнению таймера/ счетчика 0 interrupt [TIM0_OVF] void timer0_int(void) { static byte key_pressed_counter=20; static byte key_released_counter, column=FIRST_COLUMN; static unsigned row_data, crt_key; // заново инициализируем таймер/счетчик 0 INIT_TIMER0; row_data<<=4; // получим группу 4 кнопок в переменной row_data row_data|=~KEYIN&0xf; column>>=1; if (column==(LAST_COLUMN>>1)) { column=FIRST_COLUMN; if (row_data==0) goto new_key; if (key_released_counter) --key_released_counter; else { if (--key_pressed_counter==9) crt_key=row_data; else { if (row_data!=crt_key) { new_key: key_pressed_counter=10; key_released_counter=0; goto end_key; }; if (!key_pressed_counter) { keys=row_data; key_released_counter=20; key_pressed_counter=10; }; }; }; end_key:; row_data=0; }; // выберем следующий столбец KEYOUT=~column; } // проверим, была ли нажата кнопка unsigned inkey(void) { unsigned k; if (k=keys) keys=0; return k; } void init_keypad(void) { DDRD=0xf0; INIT_TIMER0; TCCR0=3; TIMSK=2; #asm("sei") } void main() { unsigned k; init_keypad(); lcd_init(16); lcd_putsf("Press any key:"); // прочитаем кнопку и отобразим ее код while (1) { lcd_gotoxy(0,1); if (k=inkey()) { sprintf(buf,"Key code=% 04Xh",k); lcd_puts(buf); } else lcd_putsf("NO KEY "); delay_ms(500); }}

Создадим в CodeVisionAVR новый проект, командой Project/Configure основного меню откроем окно настройки параметров проекта Configure Project, перейдем на вкладку C Compiler, где выберем закладку Code Genereration (рис. 23) и укажем: • размер стека данных в байтах (поле Data Stack Size) — для компиляции кода в нашем примере значения 256 будет достаточно; • размер кучи (поле Heap Size) — 0 байт; • размер внутренней (поле Internal RAM Size) и внешней (поле External RAM Size) оперативной памяти — 512 и 0 байт соответственно; • тактовую частоту микроконтроллера (поле Clock) — 4 МГц;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

• модель памяти (поле Memory Model) — Small. Другие параметры оставим без изменений и нажмем на кнопку ОК. Введем текст программы в окне кода CodeVisionAVR и запустим командой основного меню Project/Build All компиляцию. Затем перейдем в редактор Schematic Capture программы Proteus, откроем окно свойств микроконтроллера и в поле Program File укажем путь к файлу прошивки на диске компьютера, полученному в результате компиляции программного кода (при условии отсутствия в коде ошибок). Командой основного меню Debug/Run Simulation запустим моделирование собранной схемы (рис. 24) и проанализируем ее работу. При нажатии определенной кнопки клавиатуры соответствующий горизонтальный ряд (А, В, С или D) замыкается с соответствующим вертикальным столбцом (один, два, три или четыре) и на экране буквенно-цифрового дисплея отображается код нажатой клавиши (рис. 24а–е). Например, при нажатии кнопки 6 между собой замкнутся ряд В и столбец три, а на экране дисплея отобразится значение 0200h. Если на клавиатуре не нажата ни одна кнопка, то выводится сообщение NO KEY. После запуска программа инициализации определяет порт микроконтроллера для подключения LCD-дисплея. Для этого в программу включен ассемблерный код: #asm .equ __lcd_port=0x15 #endasm

Во второй строке кода директива ассемблера .equ присваивает идентификатору __lcd_port значение, соответствующее адресу регистра PORTС порта РС, которое при необходимости можно найти в строке sfrb PORTС=0x15; файла 90s8515.h. При трансляции ассемблерного кода, полученного при компиляции данного проекта компилятором С CodeVisionAVR, ассемблер вместо идентификатора __lcd_port подставит его значение. В случае модификации программы при использовании другого порта для подключения LCD-дисплея достаточно лишь заменить значение в директиве .equ, не изменяя остального текста программы. Директивами #include подключаются LCD-функции, функции микроконтроллера AT90S8515, ввода/вывода, задержки. Перед компиляцией препроцессор компилятора вставит вместо этих строк текст соответствующих файлов. Директивой #define определяются идентификаторы, которые препроцессор в тексте программы заменит соответствующими значениями. Например, идентификатор LAST_COLUMN препроцессор заменит значением 0х10, а идентификатор FIRST_COLUMN — 0x80. В строке char lcd_buffer[33]; объявляется глобальный символьный массив lcd_buffer,

проектирование

85

Рис. 23. Закладка Code Generation вкладки C Compiler окна настройки параметров проекта CodeVisionAVR, в котором используется микроконтроллер AT90S8515

состоящий из 33 элементов, для хранения информации, предназначенной для вывода на LCD-дисплей. Массив будет расположен в SRAM микроконтроллера. Все его элементы автоматически инициализируются со значением 0. В строке unsigned keys; объявляется глобальная переменная keys, в каждом бите (0–15) которой будет храниться состояние (1 — кнопка нажата, 0 — отжата) соответствующей кнопки клавиатуры (KEY0–KEY15). Например, если нажата кнопка KEY6 клавиатуры, то значение переменной keys будет 0b 0000 0000 0100 0000 = 0x0040. Функция interrupt [TIM0_OVF] void timer0_int(void) — подпрограмма обслуживания прерывания по переполнению таймера/счетчика 0, в первой строке которой осуществляется доступ к системе прерываний микроконтроллера AT90S8515, на что указывает ключевое слово interrupt. Идентификатор TIM0_OVF соответствует номеру 8 вектора прерывания, что определено директивой #define в заголовочном файле 90s8515.h. timer0_int — имя функции, по которому осуществляется ее вызов в программе. Далее в подпрограмме обслуживания прерывания объявляются статические локальные переменные. В строке INIT_TIMER0; происходит инициализация таймера/счетчика 0, в его регистр TCNT0 записывается начальное значение, которое вычисляется по формуле 0x100L-F_XTAL/64L/500L. С этого значения начинается счет таймера/ счетчика 0. В строке row_data<<=4; осуществляется операция сдвига влево на 4 бит с присваиванием текущего значения переменной row_data. Идентификатор KEYIN препроцессор заменит на значение, считанное из регистра PIND порта РD (то есть значения www.kite.ru


86

проектирование

САПР

а

б

д

в

е

г

ж

Рис. 24. Результат моделирования схемы матричной клавиатуры KEYPAD-SMALLCALC размером 4×4 после нажатия кнопки: а) ON/C; б) 0; в) =; г) +; д) Х; е) 8; ж) когда на клавиатуре не нажата ни одна кнопка

логических уровней, которые присутствуют на выводах порта РD микроконтроллера). В строке column>>=1; осуществляется операция сдвига вправо на 1 бит с присваиванием текущего значения переменной column. Вместо значения LAST_COLUMN препроцессор подставит число 0х10 = 0b 0001 0000, вместо значения FIRST_COLUMN — число 0х80 = 0b 1 000 0000. В условии if else проверяется текущее значение переменной row_ data, и если оно равно 0, то выполняется оператор goto, который осуществляет переход на метку new_key. Если значение переменной row_data не равно 0, проверяется текущее значение переменной key_released_counter, и если оно не равно 0, то выполняется операция декремента переменной key_released_counter и выполнение программы переходит на следующую строку. Если значение переменной key_released_counter равно 0, то декремент не выполняется. В строке if (--key_pressed_counter==9) crt_key=row_data; выполня-

ется декремент значения переменной key_pressed_counter, а затем его сравнение с числом 9. Если они равны, то выражение истинно (переменной crt_key присваивается текущее значение переменной row_data и выполняется переход на метку end_key, где переменной row_data присваивается значение 0). Если нет — ложно. В таком случае происходит проверка выражения if (row_data!=crt_key). Если значения переменных row_data и crt_key не равны, то выражение истинно, а значит, выполняется группа операторов, заключенная в фигурные скобки. Если ложно, то выполнение программы переходит на строку if (!key_pressed_counter). В строке KEYOUT=~column; перед компиляцией препроцессор заменит идентификатор KEYOUT на PORTD. Таким образом, в этом выражении сначала в значении переменной column все 0 заменяются на 1, а 1 — на 0 (операция побитового логического отрицания, знак операции ~), а затем полученный результат записывается в регистр PORTD порта PD. При этом

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


САПР

проектирование

87

а

б

д

в

е

г

ж

Рис. 25. Результат моделирования схемы матричной клавиатуры KEYPAD-SMALLCALC размером 4×4 после внесения изменений в программу инициализации микроконтроллера. Нажата кнопка: а) ON/C; б) 0; в) =; г) +; д) Х; е) 8; ж) не нажата ни одна кнопка

значение переменной column не изменяется. На этом выполнение функции обслуживания прерывания по переполнению таймера/счетчика 0 заканчивается. Функция timer0_int осуществляет опрос клавиатуры по следующему алгоритму. На каждый вывод старшего полубайта порта РD (PD4–PD7) последовательно подается «лог. 0», считывается значение младшего полубайта порта РD и записывается в младший полубайт переменной row_data. Предыдущее значение переменной row_data предварительно сдвигается на один полубайт влево. Если в текущем столбце (на который подан 0) есть нажатая кнопка, то на входах в бите, который соответствует ряду с нажатой кнопкой, также появится 0. Таким образом, в переменной row_data получается код нажатой кнопки. Каждый вывод младшего полубайта порта РD (PD0–PD3) сконфигурирован как вход и подключен к соответствующей строке клавиатуры. Каждый вывод старшего полубайта порта РD (PD4–PD7)

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

сконфигурирован как выход и через диод (VD1–VD4) подключен к соответствующему столбцу клавиатуры. Первоначально на всех четырех выводах младшего полубайта порта РD (PD0–PD3) присутствует уровень «лог. 1» благодаря подтягивающим резисторам R1–R4. После полного опроса клавиатуры в переменной row_data будет находиться код нажатой кнопки. Если ни одна кнопка не нажата, то в переменной row_data будет значение 0b 0000 0000 0000 0000. Текущее значение переменной row_data присваивается переменной crt_key. Полный цикл опроса клавиатуры для определения нажатой кнопки повторяется 10 раз (переменная key_pressed_counter = 10). После каждого прохода сравниваются значения переменных row_data и crt_key, и если все 10 раз они были равными, то значение переменной row_data присваивается переменной keys. А если хоть раз оказались не равны, то полный цикл опроса клавиатуры для определения нажатой кнопки снова повторяется 10 раз. Таким образом, www.kite.ru


88

проектирование

Реклама

уменьшаются ошибки, связанные с дребезгом контактов или нечетким нажатием кнопки клавиатуры. После определения нажатой кнопки клавиатуры (когда переменной keys присвоено значение переменной row_data) начинается опрос клавиатуры для определения момента отпускания кнопки. При этом полный цикл опроса клавиатуры повторяется 20 раз, что задано в переменной key_released_counter. После каждого цикла опроса проверяется условие равенства значения переменной row_data нулю (критерия отсутствия нажатых кнопок). Если условие выполняется, то начинается новый цикл опроса клавиатуры для определения нажатой кнопки. Если нет, то опрос клавиатуры для определения момента отпускания кнопки повторяется. Функция inkey возвращает значение локальной переменной k, которой присвоено значение глобальной переменной keys, и если оно не равно 0, то переменной keys присваивается значение 0. После выполнения функции inkey значение глобальной переменной keys в любом случае равно 0. Функция init_keypad производит инициализацию периферийных устройств микроконтроллера. В первой строке функции дана команда DDRD=0xf0;, которая записывает в регистр DDRD порта РD число 0xf0 (0x0f=0b 1111 0000). Таким образом все выводы старшего полубайта этого порта (биты PD4–PD7) определяются как выходы, а все выводы младшего полубайта (биты PD0–PD3) — как входы. Далее выполняется инициализация таймера/счетчика 0 (команда INIT_TIMER0;). В следующей строке кода командой TCCR0=3; в регистр управления таймером/счетчиком 0 записывается число 3, тем самым коэффициент деления предварительного делителя частоты таймера/счетчика 0 устанавливается равным 64, то есть таймер/счетчик 0 будет тактироваться частотой в 64 раза меньше системной (частоты кварца). При таких параметрах прерывания по переполнению таймера/счетчика 0 будут происходить примерно каждые 2 мс. Командой TIMSK=2; в регистр маски прерывания от таймеров/счетчиков TIMSK записывается число 2, что разрешает преры-

САПР

вание по переполнению таймера/счетчика 0. Глобальное разрешение прерываний осуществляется с помощью ассемблерного кода #asm ("sei"). Далее выполняется основная функция программы void main(), из которой осуществляется последовательный вызов функций: • init_kaypad() — функция инициализирует порт РD микроконтроллера, таймер/счетчик 0 и настраивает систему прерываний; • lcd_init(16) с параметром 16 (количество столбцов в LCD-модуле) — для инициализации LCD-модуля, очистки дисплея и установки позиции для вывода символа в 0 ряд 0 столбца; • lcd_putsf("Press any key:") — для отображения в верхней строке экрана дисплея предложения нажать любую кнопку на клавиатуре. Вывод кода нажатой кнопки на экран дисплея осуществляется в цикле while (1), который выполняется бесконечно до остановки моделирования. Первой в цикле выполняется функция lcd_gotoxy с параметрами (0, 1), которая определяет позицию вывода информации на экран дисплея (0 столбец 1 строки). Нумерация столбцов и строк начинается с нуля. Далее выполняется проверка нажатия клавиши на клавиатуре, и если условие истинно, то на экран дисплея выводится ее код в шестнадцатеричном виде. Иначе — сообщение NO KEY (нет нажатых клавиш). Функция delay_ms(500) генерирует задержку 0,5 с. Во время выполнения функции main постоянно, через каждые 2 мс, происходит прерывание по переполнению таймера/счетчика 0 и вызывается функция timer0_int, которая производит опрос клавиатуры и в зависимости от нажатых клавиш формирует соответствующий код. Младший (первый) бит кода соответствует столбцу 1, старший (четвертый) — столбцу 4. Если в столбце нажата кнопка в строке А, то в этом бите будет число 1, если в строке В — число 2, С — 4, D — 8. Модифицируем код программы так, чтобы после нажатия кнопки клавиатуры на экране отображалось ее значение, для чего в цикле while(1) основной функции void main() применим оператор if else. С его помощью будет выполняться проверка нажатой кла-

виши. Например, если код клавиши 0x0100, то на экране дисплея отобразится значение 9, а если код клавиши 0x2000, то значение X. Цикл чтения нажатой клавиши и отображения ее значения на экране дисплея: while (1) { lcd_gotoxy(0,1); if (k=inkey()) { if (k==0x0008) { sprintf(buf,"Key = ON/C",k); lcd_puts(buf); } else if (k==0x0080) { sprintf(buf,"Key = 0",k); lcd_puts(buf); } else if (k==0x0800) { sprintf(buf,"Key = =",k); lcd_puts(buf); } else if (k==0x8000) { sprintf(buf,"Key = +",k); lcd_puts(buf); } else if (k==0x0004) { sprintf(buf,"Key = 1",k); lcd_puts(buf); } else if (k==0x0040) { sprintf(buf,"Key = 2",k); lcd_puts(buf); } else if (k==0x0400) { sprintf(buf,"Key = 3",k); lcd_puts(buf); } else if (k==0x4000) { sprintf(buf,"Key = -",k); lcd_puts(buf); } else if (k==0x0002) { sprintf(buf,"Key = 4",k); lcd_puts(buf); } else if (k==0x0020) { sprintf(buf,"Key = 5",k); lcd_puts(buf); } else if (k==0x0200) { sprintf(buf,"Key = 6",k); lcd_puts(buf); } else if (k==0x2000) { sprintf(buf,"Key = X",k); lcd_puts(buf); } else if (k==0x0001) { sprintf(buf,"Key = 7",k); lcd_puts(buf); } else if (k==0x0010) { sprintf(buf,"Key = 8",k); lcd_puts(buf); } else if (k==0x0100) { sprintf(buf,"Key = 9",k); lcd_puts(buf); } else if (k==0x1000) { sprintf(buf,"Key = /",k); lcd_puts(buf); } } else lcd_putsf("NO KEY "); delay_ms(500); }

Результат моделирования схемы матричной клавиатуры KEYPAD-SMALLCALC после внесения изменений в программу инициализации микроконтроллера показан на рис. 25. n Окончание следует.

Литература 1. Филатов М. Работа с микроконтроллерами AVR в программной среде Proteus 8.1 // Компоненты и технологии. 2015. № 11. 2. Филатов М. Работа с микроконтроллерами AVR в программной среде Proteus 8.1. Часть 2 // Компоненты и технологии. 2015. № 12. 3. Филатов М. Проектирование схем электрических принципиальных с использованием LCDдисплеев и светодиодных матриц в программной среде Proteus 8.1. Часть 1 // Компоненты и технологии. 2017. № 4. 4. Филатов М. Проектирование схем электрических принципиальных с использованием LCDдисплеев и светодиодных матриц в программной среде Proteus 8.1. Часть 2 // Компоненты и технологии. 2017. № 5. 5. ISIS Help, Labcenter Electronics. 2014. 6. CodeVisionAVR Help, HP InfoTech, 2014. 7. HD44780U (LCD-II) (Dot Matrix Liquid Crystal Display Controller/Driver). Hitachi, Ltd. 1998. 8. Евстифеев А. В. Микроконтроллеры AVR семейства Mega. Руководство пользователя. М.: Додэка-XXI, 2007. 9. Филатов М. Автоматизированное проектирование электронных устройств при помощи специализированного пакета Proteus 8.1 // Компоненты и технологии. 2015. № 3. 10. Proteus VSM Help, Labcenter Electronics, 2020. 11. Хартов В. Я. Микроконтроллеры AVR. Практикум для начинающих. М.: Изд-во МГТУ им. Н. Э. Баумана, 2007. 12. Шпак Ю. А. Программирование на языке С для AVR и PIC микроконтроллеров. СПб., КОРОНА-ВЕК, 2011.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


Реклама


проектирование

90

электромагнитная совместимость

Как снизить уровень помехоэмиссии устройства на 80 дБ: пример из практики сервиса «скорая ЭМС-помощь» от компании Würth Elektronik

Наталья Солошенко Natalya.Soloshenko@we-online.com

С

каждым годом принимается все больше постановлений и требований к «обеспечению электромагнитной совместимости технических средств», как указано в одном из стандартов. Но, к сожалению, не существует ни одного официального ресурса, который мог бы понятно объяснить разработчику, что от него хотят. А без подобной информации инженер зачастую занимается только разработкой устройства, и лишь когда устройство уже готово и должно отправляться на прилавки магазинов или к конечному потребителю, внезапно возникает необходимость получить сертификат соответ-

Что такое электромагнитная совместимость? Где проводят испытания на ЭМС? Как определить, все ли в порядке? Пожалуй, с этими вопросами уже столкнулся каждый разработчик электронных устройств в России, но лишь немногим удалось найти на них полноценные ответы.

ствия по ЭМС и другим нормативам. И в этом случае нередко разработчики сталкиваются с ситуацией, когда плата работает и уже готова к финальной сдаче проекта, а тесты на ЭМС устройство не проходит. И вот тогда может прийти на выручку уникальный сервис — «скорая ЭМС-помощь». В чем же он заключается? Компания Würth Electronic является мировым производителем пассивных электронных компонентов. Одна из особенностей компании — наличие большого количества сервисов и глубокие знания в назначении и применении своих компонентов в реальных проектах. Линейка

выпускаемых изделий очень широкая, и ключевое место в ней занимают непосредственно компоненты для ЭМС и шумоподавления. Компания много занимается исследованием их эффективности в реальных устройствах, а на основании этих данных создаются статьи и семинары, позволяющие разработчику быстрее подбирать нужный компонент в зависимости от задачи. Если же самостоятельно в этом разобраться не удается, то, обратившись к сервису ЭМС-помощи, можно получить конкретные рекомендации по применению тех или иных решений в зависимости от типа, конструкции, применения устрой-

Рис. 1. Исходная картина помех по сетевым зажимам в диапазоне от 150 кГц до 30 МГц

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


электромагнитная совместимость

проектирование

91

Рис. 2. Схема фильтра

ства, обнаруженных несоответствий, шумов, прерываний в работе и т. д. Далее мы рассмотрим один из успешных примеров работы этого сервиса на реальном примере. После неудачного прохождения испытаний в лаборатории к нам обратился разработчик и предоставил описание устройства, а также схемы и графики помех, полученные в ЭМС-лаборатории (рис. 1). На рис. 1 представлен график помех по сетевым зажимам в диапазоне частот 150 кГц – 30 МГц. Превышение допустимой нормы было крайне большим — более чем 60 дБ во всей полосе частот! Как это часто бывает, стадия проекта подходила к концу, а устройство было уже готово к сдаче, а потому внедрить решения на уровне печатных плат оказалось невозможным. Выход из ситуации пришлось искать среди внешних компонентов, таких как ферриты на кабель, модульные фильтры и экранирующие материалы. Опытным путем было установлено, что основным «генератором» помех становится ре-

зонансный источник питания большой мощности. Две полумостовые схемы попеременно создавали импульсные помехи, а резонансы паразитных параметров электронных компонентов и дорожек на печатных платах послужили источником шумов в области высоких частот. Таким образом, на входе устройства потребовалось применение фильтров первого и второго порядка, обеспечивших ослабление по низкой частоте, а также ряд конденсаторов и ферритов на кабель, улучшивших картину шумов на высоких частотах. Полная схема фильтра представлена на рис. 2. В схеме фильтра использовались следующие компоненты: • 810913020 (рис. 3а) — входной фильтр второго порядка в экранированном корпусе серии WE-CLFS: – двузвенный; – IR = 20 A; ILeak = 0,785 мА; – RDC = 20 мОм; – фастонные соединения; – 114,958,545 мм; – 420 г.

• 810912020 (рис. 3а) — входной фильтр первого порядка расширенный в экранированном корпусе W‑CLFS: – IR = 20 A; ILeak = 0,785 мА; – RDC = 10 мОм; – фастонные соединения; – 7551,829 мм; – 147 г. • Керамические конденсаторы серии WCAPCSGP различных номиналов (рис. 3б); • Феррит на кабель 74271112 (рис. 3в) (STAR-TEC феррит на кабель с ключом, цвет серый): – Z при 25 МГц (1 виток) = 176 Ом; – Z при 100 МГц (1 виток) = 321 Ом; – диаметр кабеля = 4,5–6 мм; • Два феррита на кабель 74272221 (рис. 3в) серии WE-STAR-TEC LFS (STAR-TEC LFS феррит на кабель с ключом): – Z при 300 кГц (1 виток) = 12 Ом; – Z при 1 МГц (1 виток) = 45 Ом; – Z при 10 МГц (1 виток) = 40 Ом; – диаметр кабеля = 8,5–10,5 мм.

а

в

б Рис. 3. Внешний вид компонентов фильтра: а) входной фильтр 810913020; б) керамические конденсаторы серии WCAP-CSGP; в) ферриты на кабель 74272221

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

www.kite.ru


проектирование

92

электромагнитная совместимость

Рис. 4. Результаты измерений по сетевым зажимам в полосе 150 кГц – 30 МГц с установленным фильтром

Испытания с внедренными изменениями улучшили уровень излучаемых помех на 80 дБ (рис. 4). Какой можно сделать вывод из проведенной работы? Когда превышения очень велики, побороть их с помощью одного или двух компонентов практически невозможно. Потребуется внушительный перечень фильтров, а также глубокие знания и понимание процесса борьбы с шумами в электронных устройствах. Богатый опыт и наличие широкого портфолио фильтрующих компонентов компании Würth Elektronik позволяет находить решения в кратчайшие сроки. Сервис «скорая ЭМС-помощь» от компании Würth Elektronik предоставляется бесплатно клиентам на территории России и стран СНГ. Что включает сервис:

новости

• анализ проекта электронного устройства; • рекомендации по доработке с точки зрения ЭМС; • подбор решения на основе компонентов Würth Elektronik на разных этапах проектирования электронного устройства; • оценочные исследования уже готового устройства для определения слабых мест с точки зрения ЭМС. В некоторых случаях наши специалисты могут сопровождать клиента при испытаниях в ЭМС-лаборатории, по договоренности с лабораторией. Что находится вне наших компетенций: • организация испытаний в измерительных лабораториях; • доработка китайских устройств и устройств с отсутствующей документацией (схема, трассировка, перечень компонентов); • одноразовая доработка для получения сертификата. n

источники питания

DC/DC-преобразователи серии STMGFS мощностью 80 Вт от Cosel

Компания Cosel объявила о расширении серии STMGFS DC/DC-преобразователей моделью мощностью 80 Вт. Новая модель имеет те же размеры, что и предшественник на 30 Вт, содержит клеммные колодки, потенциометр выходного напряжения, входной фильтр и дополнительные конденсаторы.

STMGFS80 имеет широкий диапазон входного напряжения (4:1) — это 9–36 В и 18–76 В, используя эффективный (КПД до 92%) DC/DC-преобразователь COSEL MGFS80, собранный на несущей плате. Модель STMGFS80 доступна в двух вариантах: • STMGFS8024: работает при 9–36 В DC, охватывая системы на 12 и 24 В; • STMGFS8048: работает при 18–76 В DC, охватывая промышленные системы на 24 и 48 В. Доступны четыре выходных напряжения: 3,3; 5; 12 и 15 В. Выходное напряжение можно регулировать с помощью потенциометра, установленного на материнской плате. Все модули имеют функцию дистанционного управления вкл./выкл. через интерфейсный разъем. Оптимизированные для конвекционного охлаждения блоки питания могут работать в диапазоне температур окружающей среды –20…+70 °C. STMGFS80 можно установить непосредственно на шасси оборудования заказчика, закрепив опорную пластину с помощью двух монтажных отверстий. Его также можно прикрепить к DIN-рейке, выбрав при заказе опцию N2. Преобразователь STMGFS80 имеет пятилетнюю гарантию и соответствует европейским директивам RoHS, REACH и низковольтному оборудованию. www.macrogroup.ru КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


Реклама


технологии

94

измерительная аппаратура

Электронная нагрузка переменного и постоянного тока АКИП‑1376 с имитацией емкостного и индуктивного характера нагрузки

Алексей Шиганов

В

В статье рассмотрена электронная программируемая нагрузка АКИП‑1376, которая обеспечивает моделирование и воспроизведение установленных в стандартах ГОСТ/IEC (62040-3) линейных и нелинейных нагрузочных характеристик.

ходное напряжение до 280 В с. к. з./400 В DC, ток в нагрузке до 18,75 А с. к. з., максимальная мощность 1875 Вт. Благодаря встроенному высокоскоростному контроллеру с 16‑битным АЦП нагрузка обеспечивает на входе заданный ток и требуемое значение коэффициента мощности (PF) при отборе мощности. Цифровые 4‑разрядные строчные индикаторы V, A, W одновременно отображают на ЖКИ напряжение (Vrms, Vpeak, Vmax, Vmin), силу тока (Irms, Ipeak, Imax, Imin), мощность (Вт, В·А), также обеспечивается индикация частоты, коэффициента амплитуды (CF), коэффициента мощности (PF), полных гармонических искажений напряжения/тока (%VTHD/%ITHD), гармоник напряжения (VH), гармоник тока (IH). АКИП‑1376 является универсальной нагрузкой постоянного и переменного тока (AC/DC), поддерживает режимы CC, Linear CC, CR, CV, CP. Эти функции могут сочетаться с индуктивным и емкостным приоритетом. В режиме переменного тока единичная нагрузка (один блок) обеспечивает отбор мощности как однофазный двухпроводный потребитель с диапазоном рабочих частот 40–70 Гц. Реализованный в АКИП‑1376 режим «Турбо» позволяет кратковременно (до 1 с) увеличить в 2 раза ток нагрузки/мощность до значений 37,5 А с. к. з./3750 Вт (соответственно) для нужд тестирования — например, для испытаний предохранителей, электронных компонентов и систем защиты источников питания (функции OCP, OPP). Нагрузка АКИП‑1376 может использоваться для инструментальной проверки устройств бесперебойного электроснабжения и источ-

Рис. 1. Передняя панель АКИП‑1376

ников питания, верификации их эксплуатационных характеристик. Применение этой специализированной нагрузки обеспечивает минимизацию проблем любой сложности при измерениях, увеличивает эффективность тестирования, снижает сроки проведения испытаний. Конструкцией нагрузки предусмотрены на задней панели входные клеммы Vload, Vsense (цепь обратной связи) для удаленного подключения ИУ по 4‑проводной схеме, а также штатная функция «Ведущий/Ведомый» (Master/Slave), что обеспечивает параллельное объединение до восьми блоков (1+7). С целью имитации 3‑фазной системы отбора электрической мощности доступно объединение нагрузок по схеме «звезда» или «треугольник» (до 24 блоков). При этом в однофазном режиме отбираемая мощность достигает ~15 кВт, с полной мощностью до 45 кВт/270 кВт в трехфазной конфигурации («треугольник»/«звезда»). Нагрузка АКИП‑1376 имеет типовые стандартные функции: стабилизация тока (СС), линейная стабилизация тока (LIN-CC), стабилизация напряжения (CV), стабилизация сопротивления (CR), стабилизация мощности (CP), а также режима тестирования с имитацией емкостного и индуктивного характера нагрузки (режим «+L/+C»). Особенностью работы нагрузки является возможность имитации нелинейной нагрузки переменного тока. Диапазон изменения коэффициента амплитуд 1,414~5,0 (CF). Диапазон установки коэффициента мощности (PF) составляет 0~1 для опережающего тока/Lead или –1~0 для запаздывающего тока/Lag. Встроенные ресурсы тестирования включают функции имитации бросков пускового тока запуска ЭУ (Inrush) и программирования импульсов сверхтока и пульсаций (surge). Нагрузка обеспечивает возможность регулировки начальной фазы активации отбора мощности или сброса нагрузки (loading/unloading angle). Диапазон настройки составляет 0–359°, таким образом, любой угол может быть установлен для проверки профиля напряжения питания и реакций ИУ: • является ли Uвых инвертора стабильным (переходная характеристика); • в какой момент времени происходит фактическое подключение и отключение электрооборудования и приборов (электропотребителей); • находятся ли уровни выброса на вершине (Overshoot) и в паузе (Undershoot) в пределах допустимых значений. Кроме того, имеется возможность задать полярность полупериода (positive/negative) в тестируемой ЭУ при отборе мощности (half-cycle loading) с целью анализа стабильности выходного напряжения инвер-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


измерительная аппаратура

а

б

технологии

95

в

Рис. 2. а) Разряд батареи со стабилизацией тока (“CC + UVP” — Type 1); б) разряд батареи со стабилизацией U (“CP + UVP” — Type 2); в) программирование времени разряда (Type 3)

Рис. 4. Зависимость тока от индуктивности (для 50 Гц) Примечание. На горизонтальной оси два крайних справа значения индуктивности соответствуют току п ри работе с опцией Extended PF.

тора как реакции на ток нагрузки в режимах положительного или отрицательного полуцикла. Особенности и прикладные приложения: • тест с выбором резистивного или нелинейного режима для анализа эффективности инвертора ФЭУ (PV-systems) и стабилизаторов мощности, также известных как стабилизаторы линии электропередачи, обеспечивающих защиту от помех и поддержание качества подаваемого питания к электропотребителям и нагрузкам;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

• тестирование ИБП (UPS): эффективность (нелинейный режим), время переключения, время работы (UPS backup time, в течение которого защищаемый компьютер или сервер сохраняет данные и переходит в режим штатного отключения); • тест батарей (аккумуляторов): разрядный тест; • тест предохранителей: время срабатывания с отключением/без отключения; • тест КЗ; • тест защиты OPP/OCP.

Реклама

Рис. 3. Внешний моноблок, установленный на АКИП‑1376

Установка входных параметров выполняется дискретно непосредственным набором на цифровой клавиатуре или в пошаговом режиме с высоким разрешением. Для надежной и безопасной работы нагрузки и тестируемого устройства предусмотрены режимы защиты от перегрева (OTP), перегрузки по току (ОСР), по напряжению (OVP), по мощности (OPP). Для удаленного управления и программирования предлагаются опциональные интерфейсы: RS‑232, LAN, GPIB, USB (один слот установки, только взамен). Для тестирования перезаряжаемой батареи (аккумулятора), кроме функции испытания на снижение ресурса питания до заданного уровня напряжения (с защитой UVP), нагрузка АКИП‑1376 имеет новый встроенный разрядный тест в составе трех испытаний Type 1 ~ Type 3 (рис. 2), когда оператор может задать требуемый режим отбора электроэнергии от источника. При этом результаты теста непосредственно отображаются на ЖКдисплее нагрузки — значение емкости батареи (A·ч), значение напряжения после разряда (UVP) и значение интервала времени, определяющего не расчетное, а фактическое время автономной работы от батареи (кумулятивное время разряда — Type 3). Предусмотрена опция Extended PF дополнительного номинала индуктивности 41,6 мГн с целью увеличения коэффициента мощности нагрузки. Опция представляет собой внешний моноблок (рис. 3, 4), коммутируемый проводами к гнездам специальной колодки на задней панели нагрузки n АКИП‑1376.

www.kite.ru


96

новости

измерительная аппаратура

Новый высокопроизводительный измерительный приемник от Rohde & Schwarz

Компания Rohde & Schwarz представляет прибор R&S FSMR3000, разработанный специально для выполнения поверки генераторов и фиксированных или перестраиваемых аттенюаторов. При этом в конструкцию добавлена возможность сочетания четырех типов измерительного оборудования в моноблочном исполнении, а именно: • измерительный приемник с высокой линейностью АЧХ: менее 0,01 дБ; • анализатор аналоговых (АМ/ЧМ/ФМ) и цифровых модуляций; • анализатор фазовых шумов с кросс-корреляцией: –163 дБн (нес. 1 ГГц, отс. 10 кГц); • анализатор спектра высшего класса. Концепция «четыре в одном» предоставляет метрологической лаборатории широкие возможности для аккредитации и эталонизации в направлениях: • измерение абсолютной мощности; • измерение ослабления; • анализ модуляции АМ, ЧМ, ФМ; • анализ фазовых и амплитудных шумов. Эти и другие возможности новой платформы увеличивают спектр выполняемых измерений и делают процедуру поверки генераторов и аттенюаторов простой и эффективной. R&S FSMR3000 разработан для упрощения процедуры поверки генераторов сигналов и аттенюаторов. Диапазон рабочих частот прибора в зависимости от модели составляет 2 Гц – 8 ГГц; 26,5 или 50 ГГц. Также следует отметить широкий динамический диапазон –152…+ 30 дБмВт. Высокая линейность тракта ±(0,009 дБ + 0,005 дБ на шаг 10 дБ) в связке с внешним датчиком мощности обеспечивают высокоточные измерения уровня. Например, датчик серии R&S NRP50T может покрывать весь частотный диапазон вплоть до 50 ГГц. Наряду с этим прибор обеспечивает анализ аналоговых видов модуляции AM, ЧM и ФM. Можно измерить глубину модуляции AM 0–100% и отклонения частоты FM до 16 МГц. Есть возможность укомплектовать прибор 16‑битным аналого-цифровым преобразователем (опция FSMR3‑B80) с частотой 200 млн отсчетов/с для анализа модуляции с полосой сигнала до 80 МГц. Если установлена эта опция, то возможны измерения сигналов с цифровой модуляцией.

Метрологические лаборатории решают множество измерительных задач. Для этого часто требуется анализатор спектра с широким набором функций и наилучшими РЧ-характеристиками. С аппаратной опцией FSMR3‑B1 измерительный приемник FSMR3000 получает полнофункциональный анализатор спектра и сигналов. Фактически опция FSMR3‑B1 эквивалентна анализатору спектра и сигналов высшего класса FSW. Наряду с непревзойденной РЧ-производительностью — например, уровень собственных ФШ, широкий динамический диапазон, высокий входной TOI (до +30 дБм), — прибор также предлагает в стандартной комплектации ряд функций, необходимых для калибровки и проверки генераторов. В частности, прибор может автоматически измерять высшие гармоники генератора нажатием одной кнопки. Он также имеет функции для измерения TOI, мощности утечки в соседний канал и вариативные маркерные измерения. Аппаратная опция FSMR3‑B60 превращает прибор в полнофункциональный анализатор фазовых шумов (ФШ) с высокой чувствительностью за счет кросс-корреляции. Комбинация высокоскоростного процессора и ПЛИС позволяет выполнять мгновенную обработку данных, поэтому время измерения определяется исключительно по физически необходимому времени записи данных. Демодуляция сигнала и корреляция различных измерительных последовательностей не требуют дополнительного времени. Благодаря отличным внутренним опорным источникам и существенной цифровой архитектуре прибор, оснащенный данной опцией, быстрее, чем аналогичные измерительные системы, построенные по принципу оцифровки сигнала после фазового детектора. Также наличие режима кросс-корреляции обеспечивает повышение чувствительности в зависимости от количества корреляций. Ожидаемое улучшение рассчитывается по следующей формуле: ΔL = 5log(n), где ΔL — это улучшение чувствительности к фазовому шуму за счет кросс-корреляции (в дБ), а n — количество корреляций. Например, увеличение количества корреляций на 10 приводит к снижению собственных фазовых шумов FSMR3000 на 5 дБ.

Благодаря малошумящим внутренним источникам очень часто требуется лишь несколько корреляций, чтобы измерить качественный генератор. Опция FSMR3‑K30 позволяет очень просто проводить автоматические измерения коэффициентов шума и усиления благодаря чрезвычайно низкому уровню собственного шума FSMR3000, равному –153 дБмВт (1 Гц) на 2 ГГц или –145 дБмВт (1 Гц) на 25 ГГц без предусилителя (также доступно улучшение 12–20 дБ со встроенным предусилителем). Для подобных измерений необходим источник шума, который подключается на вход исследуемого усилителя или смесителя. В качестве источника шума можно использовать любые доступные шумовые диоды. В задаче по оценке КШ и КУ очень важно учитывать частотную характеристику значений ENR (ИОШТ) и температуру, что не всегда возможно с простыми источниками шума. Поэтому интеллектуальные источники шума серии FS-SNS делают этот трудоемкий и подверженный ошибкам процесс учета упомянутых параметров автоматическим. И теперь не нужно вводить вручную все таблицы коррекции и строго контролировать температуру окружающей среды. Используя комбинации FSMR3‑K30 и FS SNSxx, можно измерить коэффициент усиления и коэффициент шума компонентов нажатием одной кнопки. Все результаты отображаются вместе с рассчитанной погрешностью измерений. Опция FSMR3‑K15 расширяет возможности метрологической лаборатории и позволяет выполнять калибровку генераторов сигналов VOR/ILS и навигационных тестеров. Контроль воздушного движения и навигация самолетов происходит на основе VHF-сигналов с амплитудной модуляцией. Всенаправленный курсовой радиомаяк (VOR) и система посадки по приборам (ILS) применяются воздушными судами для наведения при заходе на посадку. Оба метода очень требовательны с точки зрения параметров точности и надежности измерения модуляции. В качестве примера при анализе сигнала VOR прибор FSMR3000 отображает параметры спектра, мощности и модуляции. www.rohde-schwarz.com/ru/

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


измерительная аппаратура

технологии

97

Измерения параметров импульсов интегральным методом с применением осциллографов серии R&S RTO6

Николай Лемешко, д. т. н. nlem83@mail.ru Михаил Горелкин Mikhail.Gorelkin@rohde-schwarz.com Павел Струнин Pavel.Strunin@rohde-schwarz.com

В статье описан подход к измерению параметров импульсов интегральным методом (ИМ). Изложены и критически проанализированы основы его классической реализации с применением измерительной системы из отдельных преобразователей. Рассмотрены критерии интегральной эквивалентности импульсов и приведены соответствующие расчетные примеры. Для импульсов некоторых видов и их математических моделей представлены расчетные соотношения для реализации ИМ. Предложены примеры измерений параметров импульсов с использованием ИМ в отсутствие и при наличии аддитивного шума.

Введение Выпускаемые сегодня электронные устройства в основном являются цифровыми. В качестве средства переноса информации в них обычно используется уровень напряжения либо направления перепадов между некоторыми его значениями. Для широко распространенных цифровых интерфейсов важнейшие амплитудновременные характеристики сигналов, обеспечивающие сопряжение их источников и потребителей, устанавливаются в стандартах, например в [1] для LVDS и в [2] для USB 2.0. Существуют также стандарты, устанавливающие параметры дискретных сигналов, в частности [3]. При этом считается, что если параметры сигнала на входе устройства укладываются в допуск, то передаваемая информация будет принята с вероятностью ошибки не выше некоторого установленного значения. Форма сигналов, циркулирующих в цифровых устройствах, в подавляющем большинстве случаев не похожа на свой двоичный прототип, в котором нули и единицы мгновенно сменяют друг друга. В особенности это характерно для линий передачи с битовыми скоростями более 10 Мбит/с. Основные причины такого отличия состоят в следующем [4]: • электрофизические свойства линий передачи зависят от частоты, в то время как импульсные сигналы являются широкополосными, в результате чего спектральные составляющие на разных частотах передаются с отличающимися скоростями и возникает дисперсия; • высокочастотные спектральные составляющие подвергаются большему ослаблению, из-за чего фронты и спады сигналов приобретают более гладкую форму; • линии передачи, в особенности в цифровых узлах на КМОПмикросхемах, являются колебательными контурами высокой добротности в силу низких потерь. Это проявляется высокочастотными колебательными процессами, которые сопровождают каждый фронт и спад сигнала; • в электрически длинных линиях при передаче импульсных сигналов возникают помехи отражения, которые проявляются в приобретении фронтами и спадами ступенчатой формы.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

Импульсы заданной амплитуды могут иметь бесконечно большое количество возможных форм, включая разные типы фронтов, а также длительности и т. д. Чем больше параметров, характеризующих их форму, задается для импульсов, тем точнее она может быть описана. Например, в стандарте [3] форма импульсов предполагается трапециевидной с положительным и отрицательным выбросами на фронте и спаде и задается шестью параметрами. Однако если форма импульса сильно отличается от заданного модельного представления, то прямое определение его параметров вызывает значительные сложности, а приближенные оценки здесь не могут заменить точности математически обоснованных методов. Для измерений параметров импульсов в соответствии с их принятой моделью может быть использован интегральный метод (ИМ). Основным достоинством интегрального метода измерений является то, что для выбранной модели импульсов значения параметров мало зависят от вариации их формы. Это позволяет избежать проблем с определением того, что считать амплитудой, длительностью фронтов и спадов и т. д., поскольку данные характеристики уже заложены в конкретную применяемую модель. Использование современных средств измерений, имеющих функцию математической обработки сигналов, позволяет реализовать ИМ значительно проще, чем это предполагалось во времена его теоретической проработки.

Принципы интегрального измерения параметров импульсов Рассматриваемый метод измерений появился в 1970‑е годы [5], когда возникла потребность в измерении характеристик импульсов наносекундной и субнаносекундной длительности, в том числе оптических. В то время не существовало осциллографов гигагерцевого диапазона, что исключало непосредственное наблюдение формы таких импульсов, а сама их форма по большей части являлась предположительной либо определялась из анализа свойств источников импульсных сигналов. Основная задача использования ИМ состояла в преобразовании импульсов к виду, из которого можно было бы обоснованно извлечь информацию об их форме. Для этого, в частwww.kite.ru


98

технологии

измерительная аппаратура

ности, предназначены более сложные схемы измерений, которые предусматривают применение расширителей импульсов перед нелинейным преобразованием и интегрированием. На рис. 1а показана структурная схема измерителя параметров импульсов ИМ. В ней входной измеряемый сигнал U(t) разветвляется и поступает на N нелинейных элементов (НЭ), количество которых соответствует числу измеряемых параметров импульсов. Если НЭ являются безынерционными, то есть не создают задержку распространения сигналов, то напряжение на выходе i‑го нелинейного элемента составит:

а

Uнi (t) = Fi (U(t)), где Fi (*) — некоторая монотонная функция, соответствующая нелинейному элементу i. Функции Fi (*) должны быть линейно независимыми. Если НЭ построен на электронных компонентах типа диодов, можно считать, что для него такая функция описывается степенным полиномом не выше третьей степени. Управлять формой передаточной функции НЭ в этом случае можно путем смещения рабочих точек таких элементов. Выходной сигнал каждого НЭ поступает на интегратор. Все N интеграторов работают синхронно, осуществляя интегрирование в интервале времени 0–T, охватывающем один исследуемый импульс. Выходной сигнал на выходе интегратора i зависит от времени и в отсутствие задержек составляет:

Важно отметить, что в идеальном случае исследуемые импульсы должны быть такими, что вне интервала 0–T функция U(t) = 0. Дополнительно следует потребовать, чтобы Fi (0) = 0. В книге [5] отмечается, что если эти условия не выполняются, то цепи измерителя настраиваются для обеспечения поправки на постоянную составляющую (ППС). Необходимость введения ППС обусловлена тем, что для некоторых форм импульсов наличие таких некомпенсированных компонентов в сигналах U(t) и Uнi (t) способно сделать невозможным правильный расчет

б

Рис. 1. Структурная схема измерителя параметров импульсов ИМ: а) на электронной компонентной базе; б) на основе модуляторов света

параметров импульсов. Если ППС реализована верно, то длительность интегрирования T может быть выбрана с разумным запасом. После завершения интегрирования, то есть по достижении времени t = T, значения:

фиксируются системой обработки проинтегрированных импульсов, которая осуществляет расчет и индикацию измеренных параметров импульсов в соответствии с выбранной их моделью на основе решения системы из N уравнений с таким же количеством неизвестных:

(1)

где s(t, p1, …, pN) — функция времени t, определяющая выбранную форму импульса с параметрами p1–pN, причем момент времени t = 0 соответствует началу импульса.

Схема, представленная на рис. 1б, отличается тем, что в ней применяются модуляторы света, а сам измеряемый сигнал определяет степень такой модуляции. Модулируемый световой поток для каждого модулятора формируется при помощи специального источника и далее распределяется между входами N модуляторов M, которые реализуют нелинейное преобразование управляющего напряжения в интенсивность светового потока. В качестве интеграторов здесь могут применяться, например, фотоэлектронные умножители, на выходе которых формируются электрические сигналы. Система обработки функционирует аналогично схеме на рис. 1а. Достоинство такой схемы реализации ИМ — более высокое быстродействие нелинейных модуляторов в сравнении с электрическими НЭ, что позволяет измерять характеристики для импульсов меньшей длительности. При необходимости оптическая и электрическая части схемы могут быть разнесены друг от друга на значительные расстояния и даже размещаться в разных устройствах, если речь идет о сложных измерительных комплексах. Если теперь перейти к реализации ИМ на базе современных осциллографов, например серии R&S RTO6, то функции НЭ и интеграторов можно не реализовывать отдельными элементами, а использовать для этого встроенную математическую обработку осциллограмм. При этом полиномы Fi (*) могут быть в принципе любой степени, и удобно использовать функции вида:

Uнi (t) = (U(t))i.

Рис. 2. Схема измерений параметров импульсов интегральным методом с применением современных осциллографов

(2)

Это способствует получению систем нелинейных уравнений с наиболее простой структурой, которые, однако, целесообразно решать с применением численных методов. Функции вида (2) не содержат членов

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


измерительная аппаратура

а

в

б

Рис. 3. Некоторые виды ИЭФ: а) прямоугольный; б) треугольный; в) симметричный треугольный

нулевой степени, следовательно, при задании расчетных формул ППС при необходимости применяется только для исследуемого сигнала U(t), причем она также реализуется математически с использованием функции масштабирования. Реализация ИМ с использованием осциллографа пояснена на рис. 2. Здесь осциллограф формирует оценки сигналов на выходе интеграторов Ai на конечное время интегрирования T, а систему обработки проинтегрированных импульсов заменяет решение системы уравнений, определяемой выбранной моделью импульсов. Несомненным достоинством разделения измерений значений Ai и расчета параметров импульсов является возможность получения таких оценок для разных моделей импульсов. При этом важно подчеркнуть, что выбранная форма импульсов может значимо отличаться от измеряемого оригинала. Так, с гауссовым импульсом может быть сопоставлен прямоугольный и т. д. Но параметры таких эквивалентных импульсов не могут быть выбраны произвольным образом — они должны удовлетворять некоторым критериям.

казано в [7]. Ввиду этого первым критерием эквивалентности импульсов является равенство их электрической площади. С другой стороны, каждый импульс характеризуется переносимой энергией, определяющей его тепловое действие при поглощении резистивной нагрузкой. Равенство энергий импульсов для одинаковых сопротивлений — это второй критерий эквивалентности. Дополнительно рассмотренные критерии эквивалентности обоснованы и пояснены в [5]. Как видим, понятие эквивалентности импульсов не затрагивает их спектральный состав. Типовой задачей, связанной с практикой использования ИМ, является отыскание параметров импульсов «эталонных» форм (ИЭФ). Как будет показано ниже, импульсы наиболее распространенных видов наиболее часто характеризуются не менее чем тремя параметрами, один из которых опре-

технологии

99

деляет их амплитуду. Установим, что ИЭФ всегда характеризуются двумя параметрами. К ним относят прямоугольный, треугольный и симметричный треугольный импульсы (рис. 3). ИЭФ указанных форм имеют по два параметра — амплитуду Um и длительность τ. В данном случае N = 2, и система уравнений, подобная (1), будет иметь всего два уравнения. Пусть для импульсов произвольной формы с использованием ИМ измерены параметры A1 и A2, причем передаточная функция НЭ соответствует (2). Значения Um и τ для ИЭФ, показанные на рис. 3, могут быть рассчитаны по следующим формулам: • для прямоугольного импульса:

Um = A2/A1; t = A12/2;

(3)

• для треугольного и симметричного треугольного импульсов:

Um = 1,5A2/A1; t = 4A12/3A2.

(4)

На основе формул (3), (4) могут быть рассчитаны амплитуда и длительность ИЭФ для любого произвольного импульса. В качестве примеров на рис. 4 показаны ИЭФ, построенные для трапециевидного симметричного и для гауссового импульсов, форма которых задается формулами в строках 2 и 11 таблицы. Было принято, что импульсы имеют

а

Критерии эквивалентности импульсов отличающейся формы Как отмечалось выше, в импульсных системах могут формироваться, передаваться и обрабатываться с извлечением информации импульсы весьма произвольных форм. По этой причине при выработке критериев эквивалентности опираться непосредственно на форму импульсов, то есть на вид функции U(t), не представляется возможным, и здесь требуется перейти к понятиям, лежащим в иной плоскости. Известно, что импульсные сигналы занимают полосу частот, зависящую от их длительности τ. Так, для прямоугольных импульсов первый лепесток спектра, содержащий около 90% его энергии, занимает полосу частот до 1/τ. Ряд радиотехнических цепей и узлов, в которых импульсные сигналы подвергаются обработке, имеют существенно меньшую полосу пропускания. В частности, это характерно для полосовых фильтров измерительных приемников при измерении импульсных помех [6]. В рассматриваемом случае выходной сигнал не будет значимо зависеть от формы импульсов, как это по-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

б

Рис. 4. ИЭФ, построенные: а) для трапециевидного симметричного импульса; б) для гауссового импульса

www.kite.ru


100

технологии

измерительная аппаратура

Рис. 5. Эквивалентные в интегральном смысле гауссов и симметричный трапециевидный импульсы

единичную амплитуду, и для первого из них τ1 = 1, τ2 = 3, для второго τ = 1. Функции pr(t), tr(t), trs(t) соответствуют прямоугольному, треугольному и симметричному треугольному импульсам. Аналогичным образом может быть охарактеризована эквивалентность импульсов произвольной формы в интегральном смысле. Система уравнений (1) при выполнении требований к передаточным функциям нелинейных элементов устанавливает взаимно однозначное соответствие между параметрами импульса заданной формы p1–pN и его интегральными характеристиками Ai. Если далее по значениям Ai на основе (1) рассчитываются параметры импульсов другой формы, то такой переход тоже будет давать однозначные результаты. Это справедливо и для случаев, когда такие импульсы описываются разным количеством параметров. Таким образом, эквивалентность импульсов обладает свойством обратимости. Для примера на рис. 5 показаны эквивалентные в интегральном смысле симметричный трапециевидный и эквивалентный ему гауссов импульс. Первый из них имеет те же параметры, что и на рис. 4а. Решение системы (1) дает для гауссова импульса Um = 1,257, τ = 1,346, его временная функция обозначена как Ue (t).

Математические модели импульсов и расчет их параметров на основе интегрального метода Как отмечалось выше, существует бесконечное многообразие форм импульсов, причем повышение детальности их описания неизбежно влечет увеличение количества независимых параметров. Проведенные численные эксперименты по реализации ИМ, в частности по решению системы уравнений

(1) на основе расчетных значений Ai, показали, что такое увеличение нежелательно по следующим причинам. 1. С увеличением количества уравнений в системе (1) возрастают требования к погрешности экспериментального определения значений A i. Если она будет значительной, а система уравнений будет решаться как жесткая с поиском точного решения, то оно может быть и не найдено из-за проблем сходимости. Таким образом, в этом случае требуется выполнить особую оценку приемлемых показателей сходимости. 2. Параметры, входящие в состав уравнений, являются разнородными, описывающими амплитуду и временные характеристики, и потому могут отличаться на несколько порядков. Использование НЭ со степенной передаточной функцией (2) увеличивает этот разброс, что снижает точность решения системы (1) даже при отсутствии проблем сходимости. Если же использовать НЭ с передаточными функциями в виде линейно независимых комбинаций степенных функций, тогда значительно усложняется структура решаемой системы уравнений. 3. В ряде случаев измеряемые импульсы претерпевают флюктуации, которые могут быть описаны только в вероятностных категориях [7], и потому форму импульсов целесообразно считать соответствующей одной из рассматриваемых ниже моделей. Ввиду этого на практике следует ограничиваться использованием моделей импульсов с параметрами в количестве не выше 5, 6 шт. Еще одна важная рекомендация связана с формой представления системы (1) для решения в математических пакетах. Для многих практически значимых моделей импульсов определенные интегралы на интервале [0; T] могут быть взяты аналитически, в осо-

бенности если передаточная функция соответствует (2). Не стоит пренебрегать этим фактом, поскольку в таком случае система (1) упрощается до системы нелинейных уравнений, что резко улучшает ситуацию со сходимостью. Все импульсы, описываемые кусочно-линейными, степенными, логарифмическими и другими функциями, подпадают под эту особенность и по возможности должны в системе (1) задаваться аналитически в проинтегрированном виде. Уравнения для импульсов некоторых форм, в частности гауссова импульса, могут быть получены вычислением несобственных интегралов. На основании изложенного, в таблице представлены математические модели импульсов некоторых наиболее интересных для практики форм, а также упрощенные с учетом интегрирования системы уравнений (1), полученные для НЭ с передаточной функцией (2). Для задания некоторых функций U(t) здесь использован интервальный метод. Номенклатура представленных в таблице математических моделей охватывает практически все виды импульсов, применяемых в радиотехнических устройствах и системах [8]. При выводе расчетных соотношений использовался справочник [9]. Для прямоугольного импульса с экспоненциальным фронтом и спадом система уравнений (1) имеет вид (5). Таким образом, завершение реализации интегрального метода измерений на основе полученных путем измерений значений Ai осуществляется решением системы уравнений, представленной для выбранной модели импульса в пятой колонке таблицы. Системы уравнений, показанные в таблице, содержат в качестве одного из оцениваемых параметров амплитуду, в то время как в [5] предложено использовать предварительную нормировку, в том числе из-за ограниченного динамического диапазона НЭ. При использовании математической обработки данных на осциллографе эта проблема устраняется сама собой.

Требования к средствам измерений и измерительной оснастке При реализации ИМ на современных осциллографах измерительная часть ИМ завершается получением значений Ai, которые далее применяются в системе уравнений для расчета параметров импульсов. Поэтому первое требование к осциллографам — наличие соответствующей обработки осциллограмм и ее достаточно высокая точность.

A = Um(t1+(tr–tf )(exp(–t1/tr )–1));  1 A2 = Um2(t1+t2(exp(–t1/tr)–1))(2–0,5tr(exp(–t1/tr)+1))+0,5tf (exp(–t1/tr)–1)/tr));  A3 = Um3(t1+t2(exp(–t1/tr)–1))(3–1,5(exp(–t1/tr)+1))+tr (exp(–3t1/tr)–1)/3+tf (1–exp(–t1/tr))3/3); A = U 4(t +t (exp(–t /t )–1))(4–3(exp(–t /t )+1))+4t (exp(–3t /t )–1)/3–t (exp(–4t /t )–1)/4+t (1–exp(–t /t ))4/4. m 1 2 1 r 1 r r 1 r r 1 r f 1 r  4

(5)

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


измерительная аппаратура

технологии

101

Таблица. Данные для реализации измерений параметров импульсов некоторых форм интегральным методом № п/п

Тип импульса, функция U(t)

Вид и параметры импульса

Интервал интегрирования

Система уравнений

Трапециевидный

[0; τ2]

1

Трапециевидный симметричный

[0; τ1+τ2]

2

Трапециевидный несимметричный

[0; τ3]

3

Треугольный с экспоненциальным спадом

[0; T], где T ≥ τ1+5τ

4

Прямоугольный с экспоненциальным фронтом

[0; τ1]

5

Прямоугольный с экспоненциальным спадом

[0; T], где T ≥ τ1+5τ

6

С экспоненциальным фронтом и спадом

[0; T], где T ≥ τ1+5τf

7

формула (5)

Степенной

[0; τ1]

8

Косинусоидальный

[–τ; τ]

9

Косинусквадратный

[–τ; τ]

10

11

Гауссов

U(t) = Umexp(–t2/τ2)

При реализации ИМ обработке могут подвергаться импульсы разной амплитуды. Как отмечалось выше, ИМ весьма эффективен при измерениях характеристик зашумленных импульсов, для которых прямые, например курсорные измерения, дают результаты большой неопределенности. Однако это не гарантирует качественные результаты, если речь идет об импульсах малой амплитуды. Если она приближается к нижней границе динамического диапазона и собственные шумы осциллографа проявляются на осциллограммах,

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

[–T; T], где T ≥ 3τ

затрудняя наблюдение формы импульсов, то значения Ai могут существенно изменяться от развертки к развертке. В этом случае для решения системы (1) следует использовать их усредненные значения, а длительность развертки нужно выбирать строго соответствующей интервалу интегрирования. Такой подход позволяет повысить точность оценки параметров импульсов, если шум, например, обусловлен тепловыми процессами и не коррелирован с измеряемым сигналом. www.kite.ru


102

технологии

измерительная аппаратура

а

б

Рис. 6. Измерительная установка для реализации ИМ при отсутствии аддитивного шума в исследуемом сигнале: а) схема; б) внешний вид

а

а

б

б

в

в

Рис. 7. Осциллограммы: а) импульса в форме полусинуса; б) импульса в форме полусинуса с отсечкой по фазе; в) парных положительных импульсов

Рис. 8. Результаты измерений, полученные: а) для импульса в форме полусинуса; б) для импульса в форме полусинуса с отсечкой по фазе; в) парных положительных импульсов

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


измерительная аппаратура

технологии

103

Рис. 9. Графики функций ps(t) и psm(t)

Поскольку ИМ пригоден для оценки параметров импульсов субнаносекундной длительности, то используемые осциллограф и оснастка, в том числе пробники, не должны оказывать заметного влияния на их отображаемую форму. Полоса их рабочих частот должна охватывать не менее двух лепестков спектра импульсов, что соответствует 95% их энергии [10], если речь идет об импульсах прямоугольной формы. В этом смысле для проведения измерений можно рекомендовать, например, активные дифференциальные пробники R&S RT-ZD10/20/30 c полосой рабочих частот 1; 1,5 и 3 ГГц соответственно [11]. Для реализации ИМ, несомненно, подойдут и новейшие осциллографы компании Rohde & Schwarz серии RTO6 с полосой рабочих частот до 6 ГГц [12]. Один из таких приборов использован при проведении измерений параметров импульсов ИМ, результаты которых представлены ниже.

Примеры реализации интегрального метода измерений параметров импульсов Измерения для сигналов без аддитивного шума Эти измерения выполнялись с помощью установки, схема которой показана на рис. 6а. В ней был задействован осциллограф R&S RTO6 с опцией R&S RTO6‑B96 [13], соответствующей полосе рабочих частот до 4 ГГц при наличии четырех каналов. Для формирования исследуемых импульсов использовался канал В генератора АНР‑1041. Фотография измерительной установки представлена на рис. 6б. Частота следования импульсов равнялась 100 кГц, фактическая амплитуда составляла около 1 Вп-п. Настройки на генераторе были установлены таким образом, что вне пределов импульсов напряжение было близко к нулю, то есть ППС не требовалась. ИМ применялся для импульсов: • в виде полусинуса, для которого наиболее подходящей из рассмотренных выше является модель косинусоидального импульса; • в виде полусинуса с отсечкой по фазе, для которого применима та же модель; • в виде парных положительных импульсов, для которых использована модель в виде прямоугольного импульса. Осциллограммы исследуемых импульсов представлены на рис. 7. Для импульсов в форме полусинуса результаты измерений интегральных параметров A1 и A2 представлены на рис. 8а. Они, как и во всех остальных случаях, получены путем математической обработки измеряемого сигнала с последующим применением функций автоматических измерений к расчетным кривым. Установлено, что A1 = 3,1294 мкВ·с и A2 = 2,4252 мкВ2·с. Система уравнений, представленная для косинусоидального импульса в строке 9 таблицы, может быть решена аналитически относительно Um и τ, и ее решение имеет вид: КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

Um = (4A2)/(pA1); t = (p2A12)/(16A2). Исходя из этого, расчетные значение параметров для выбранной модели импульса составляют Um = 0,987 В, τ = 2,491 мкс. На рис. 9 показаны графики функций ps(t) и psm(t), соответствующих сохраненной осциллограмме импульса в форме полусинуса и его модели при найденных ИМ значениях параметров. Выборка данных для сохранения осциллограммы в формате CSV выполнялась с шагом 50 пс, ее объем составлял 150 000 точек. При обработке использовалась десятикратная децимация. Совмещение графиков функций ps(t) и psm(t) выполнялось по критерию максимума функции их взаимной корреляции. Как видно на рис. 8, график импульса косинусоидальной формы весьма точно повторяет экспериментально полученную осциллограмму. Результаты измерений, полученные для импульса в форме полусинуса, усеченного по фазе, показаны на рис. 8б и составляют A1 = 2,6207 мкВ·с и A2 = 2,1469 мкВ2·с. Учитывая представленную на рис. 7б его форму, будем считать применимой для этого случая помимо косинусоидального импульса, и модель в форме прямоугольного импульса. Для первого случая расчетные значения параметров составляют Um = 1,043 В, τ = 1,973 мкс, а для модели прямоугольного импульса в соответствии с (3) — Um = 0,819 В, τ = 3,199 мкс. На рис. 10 показаны графики функций us(t), usm1(t) и usm2(t), соответствующих сохраненной осциллограмме импульса в форме усеченного полусинуса и его моделям в виде косинусоидального и прямоугольного импульсов соответственно. Принцип их совмещения был тем же. Выборка данных для сохранения осциллограммы в формате CSV выполнялась с шагом 50 пс, ее объем составлял 100 000 точек, и для построения графика функции us(t) также использовалась десятикратная децимация. В данном случае эквивалентные в интегральном смысле импульсы не вполне повторяют форму прототипа. Если рассматривать критерий наименьшего среднеквадратичного отклонения, то более близкой к осциллограмме является модель косинусоидального импульса. Далее рассмотрим результаты измерений для парных положительных импульсов. Они представлены на рис. 8в, получено, что A 1 = 1,2781 мкВ·с и A2 = 1,109 мкВ2·с. Используя модель прямоугольного импульса, по формулам (3) найдем, что Um = 0,868 В, τ = 1,473 мкс. На рис. 11 показаны графики функций dimp(t) и dimpm(t), соответствующих сохраненной осциллограмме двойного импульса и его модели в виде прямоугольного импульса. Выборка данных для сохранения осциллограммы в формате CSV выполнялась с тем же шагом, ее объем составлял 80 000 точек. Как и в прошлых случаях, использовалась десятикратная децимация. Здесь эквивалентный в интегральwww.kite.ru


104

технологии

измерительная аппаратура

Рис. 10. Графики функций us(t), usm1(t) и usm2(t)

Рис. 11. Графики функций dimp(t) и dimpm(t)

ном смысле прямоугольный импульс не соответствует прототипу. Таким образом, если импульс произвольной формы разделен на отдельные сегменты, то при их произвольном расположении ИМ даст одни и те же результаты. Для того чтобы преодолеть этот недостаток интегрального метода, в книге [5] вводятся дополнительные классификационные признаки, фактически основанные на введении дополнительных параметров. В представленном примере рассмотренная неразличимость может быть легко преодолена в случае раздельного применения ИМ к каждому из импульсов. Измерения для сигналов с добавлением аддитивного шума Измерения выполнялись на установке, схема которой показана на рис. 12а. В ней было задействовано то же оборудование. Исследуемый сигнал формировался в результате операций сложения напряжений на каналах 1 и 2 осциллографа, причем на выходе А генератора формировалась последовательность прямоугольных импульсов с частотой 100 кГц, длительностью 5 мкс и с амплитудой 10 В, а на выходе B — шумовой сигнал с полосой около 900 кГц с уровнем 0,1; 0,7; 2,5 Вп-п и с распределением, близким к нормальному. Осциллограммы названных сигналов, а также результаты их сложения представлены на рис. 13. Как видно на рис. 13в, наличие аддитивного шума существенно затрудняет определение амплитуды импульсов, но почти не препят-

ствует измерению их длительности. Однако если уровень шума станет сопоставимым с амплитудой сигнала, то измерение длительности импульсов также будет затруднено. Результаты измерений значений интегральных параметров таких суммарных сигналов, полученные с использованием функций математической обработки, представлены на рис. 14. Для уровней шума 0,1; 0,7; 2,5 Вп-п измеренные значения интегральных параметров A1 и A2 составляют соответственно 50,095; 50,448; 50,75 мкВ·с и 501,74; 505,74; 515,09 мкВ2·с. Используя формулы (3), можно определить, что для указанных уровней шума реализация ИМ дает значения Um = 10,1; 10,025; 10,15 В; τ = 5,004; 5,032; 5,001 мкс соответственно. Как и ожидалось, наличие аддитивного шума с гауссовым распределением не оказывает существенного влияния на точность измерений параметров импульсов ИМ. Графики совмещенных по критерию наибольшей корреляции функций math(t) и mod(t), соответствующих исследуемому сигналу при уровне шума, равном 2,5 Вп-п, и модели прямоугольного импульса с указанными выше параметрами, представлены на рис. 15. В случае если измеряемый сигнал сильно зашумлен, то положение его на оси времени может быть неопределенным. Если шум обусловлен случайными факторами, определить область расположения импульса можно при помощи автокорреляционной функции [10]. Альтернативой здесь может стать применение ИМ, если будет правильно подобрана ППС.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


измерительная аппаратура

технологии

а

105

б

Рис. 12. Измерительная установка для реализации ИМ при отсутствии аддитивного шума в исследуемом сигнале: а) схема; б) внешний вид

а

а

б

б

в

в

Рис. 13. Осциллограммы выходных сигналов генератора и результаты их суммирования для уровней шума: а) 0,1 Вп-п; б) 0,7 Вп-п; в) 2,5 Вп-п

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

Рис. 14. Результаты измерений интегральных параметров сигналов при уровне шума: а) 0,1 Вп-п; б) 0,7 Вп-п; в) 2,5 Вп-п

www.kite.ru


технологии

106

измерительная аппаратура

Рис. 15. Графики функций math(t) и mod(t)

Заключение Таким образом, реализация интегрального метода измерений параметров импульсов и сегодня остается перспективным инструментом исследования. Ограничения его применимости вытекают из неразличимости импульсов некоторых форм, однако этот недостаток может быть преодолен увеличением количества параметров импульсов либо их декомпозицией на отдельные фрагменты. Как видно из представленной теории, расчетный этап реализации ИМ не столь сложен, чтобы стать препятствием для его реализации, и потому он может быть выполнен по одним и тем же экспериментальным данным для множества моделей импульсов. При выполнении измерений параметров импульсов ИМ особое значение имеют качество выполняемой осциллографом математической обработки, а также свойства его радиочастотной части и измерительной оснастки, которые не должны влиять на форму измеряемых импульсов. Современные приборы, в том числе новейшие осциллографы серии R&S RTO6, безусловно, отвечают этому требованию. n

Литература 1. www.ti.com/lit/an/slla038b/slla038b.pdf 2. Universal Serial Bus Specification. www.usb.org/developers/docs/usb20_docs/#usb20spec

новости

3. ГОСТ 26.013-81 «Средства измерений и автоматизации. Сигналы электрические с дискретным изменением параметров входные и выходные». М.: ИПК «Издательство стандартов», 2001. 4. Кечиев Л. Н. Проектирование печатных плат для цифровой быстродействующей аппаратуры. М.: ООО «Группа ИДТ», 2007. 5. Грязнов М. И. Интегральный метод измерений параметров импульсов. М.: Советское радио, 1975. 6. Корякин В. С., Кравчук Ю. В., Лебедева О. В. и др. Измерители радиопомех. Под ред. Фастовского И. А. М.: Связь, 1973. 7. Харкевич А. А. Спектры и анализ. М.: Государственное издательство технико-теоретической литературы, 1962. 8. Ширман Я. Д., Багдасарян С. Т., Маляренко А. С. и др. Радиоэлектронные системы. Основы построения и теория. Под ред. Ширмана Я. Д. М.: Радиотехника, 2007. 9. Градштейн И. С., Рыжик И. М. Таблицы интегралов, сумм, рядов и произведений. М.: Государственное издательство физико-математической литературы, 1962. 10. Баскаков С. И. Радиотехнические цепи и сигналы. Учебное пособие для вузов. М.: Высшая школа, 2003. 11. R&S RT-ZD10/20/30 Active Differential Probe. R&S RT-ZA15 External Attenuator. User Manual. V.5. 1410.4550.02-05. 12. R&S RTO6 Oscilloscope Series. Specifications. 5216164022. 13. R&S RTO6 Oscilloscope. User Manual. 1801.6687.02-02.

источники питания

DC/DC-конвертер RECOM мощностью 2 Вт при высоких температурах для SMD-монтажа Компания RECOM добавила к линейке DC/DCконвертеров серию RSH2 — полностью стабилизированных изолированных малошумящих 2‑Вт конвертеров в корпусе для SMD-монтажа. Номинальные напряжения входа составляют 3,3 В (2,8–5,5), 5/9 В (4,5–13,2), 12 В (9–18) и 24 В (18–36), обеспечивая работу от популярных напряжений аккумуляторов и шин питания. Номинальными значениями выхода являются 3,3; 5; 12; 15; 24; ±12 и ±15 В. Модули обеспечены защитой от короткого замыкания и перегрузки, а также удовлетворяют нормам EN 55032 класс A

или B для входного шума при использовании простейшего внешнего фильтра. Обеспечена работа без нагрузки и вход on/off. Диапазон рабочих температур серии RSH2 составляет –40…+85 °C без деградации характеристик, что оптимально для использования в промышленной автоматизации, контрольном и измерительном оборудовании и на транспорте. Прочность изоляции составляет 2 кВ DC/60 с (опционально 3 кВ DC/60 с) и подтверждена сертификацией UL/IEC/EN 62368-1. Модуль удовлетворяет нормам RoHS‑3.

Серия RSH2 поставляется в компактных и легких корпусах DIP14 SMD в тубах или на ленте в катушке. Пайку можно осуществлять в ИК- или парофазной печи, используя стандартные профили JEDEC. www.recom-power.com

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021



рубрикатор

108

Перечень статей, опубликованных в журнале «Компоненты и технологии» в 2021 году

I. Рынок Что на уме у инженера? Вадим Черный. № 1, стр. 6 Женские лица в мире электроники. № 2, стр. 6 RFID в 2015 и в 2020 году. Основные изменения рынка за прошедшие пять лет. Анастасия Григорьева. № 3, стр. 6 Надежда умирает последней. Дитер Уайсс (Dieter G. Weiss). Перевод: Сергей Шихов. № 6, стр. 6 Импортозамещение по-русски: китайские ИС на российских КА. Алексей Сашин. № 6, стр. 8 НИИМЭ: постоянное движение вперед. № 7, стр. 6 Кому достанется Arm holding? Виктор Алексеев. № 8, стр. 6 «Засуха в Эдеме». Вадим Черный. № 8, стр. 12 «А‑КОНТРАКТ»: «Мы внедряем «Индустрию 4.0» в контрактное производство электроники». № 8, стр. 18 Организация эффективных курсов повышения квалификации в области электромагнитной совместимости для инженеров‑электронщиков. Антон Еремин. № 8, стр. 22 Развитие российского производства электроники и возможности инжиниринга. Алексей Трошин, Максим Пискайкин. № 9, стр. 6 Контрреинжиниринг или реинжениринг. Вадим Черный. № 9, стр. 14 Инжиниринг и «Индустрия 4.0». Разбор терминологии. Алексей Трошин, Максим Пискайкин. № 9, стр. 20 Мы работаем над созданием квантовой схемотехники и квантовых сенсоров. Сергей Березин. № 9, стр. 26 Российская микро- и наноэлектроника застыла в ожидании. № 9, стр. 28 «Универсал Прибор» — 2021: смена собственника и новые ориентиры. № 9, стр. 32 Возрождение EMS в Европе? Вадим Черный. № 10, стр. 6 Стабилизатор напряжения с низким остаточным напряжением с дополнительными функциями для автомобильной электроники. № 10, стр. 10

II. Есть мнение Как правильно маркировать продукцию? Роман Васильев, Станислав Кузнецов. № 6, стр. 18 Повышение эффективности мероприятий по применению ЭКБ за рамками технических условий. Денис Авсюкевич, Владимир Стешенко, Павел Шевченко. № 12, стр. 6 Что поможет ускоренному проектированию и серийному производству источников вторичного электропитания на отечественной элементной базе. Владимир Громов. № 12, стр. 10

III. Компоненты Универсальный квадратурный ПЧдемодулятор LT5502. Мин Зоу (Min Zou), Владимир Дворкин (Vladimir Dvorkin). Перевод: Михаил Русских. № 4, стр. 42 COTS изменяет подход к проектам космической отрасли. Вадим Черный. № 8, стр. 80

1. Пассивные элементы Высокочастотные катушки индуктивности компании Würth Elektronik — есть что предложить и из чего выбрать. Перевод и дополнения: Владимир Рентюк. № 4, стр. 6 Низковольтные многослойные керамические чип-конденсаторы НИИ «Гириконд» для массового применения в малогабаритных блоках перспективной РЭА. Борис Беленький, Мария Коршак. № 7, стр. 22 Пассивные компоненты AC/DC-источников питания. Стив Робертс (Steve Roberts). Перевод и комментарии: Владимир Рентюк. № 12, стр. 29

2. Диоды и транзисторы SiC-диоды и транзисторы от Onsemi — эффективное решение задач силовой электроники. Геннадий Штрапенин. № 8, стр. 50

3. ВЧ/СВЧ-элементы Высокочастотные переключательные матрицы компании Dow-Key. Николай Егоров. № 2, стр. 8 Малопотребляющий квадратурный модулятор для цифровых систем связи. Брюс

Хемп (Bruce Hemp), Санни Ксиао (Sunny Hsiao). Перевод: Михаил Русских. № 3, стр. 10

4. Датчики Эволюция современных преобразователей основных электрических величин. Григорий Портной, Олег Болотин, Константин Разумовский, Олег Яценко. № 1, стр. 10 Инерциальные МЭМС-системы от НПП «ГИРОНАВ». Дмитрий Данильцев, Александр Кабанов, Юрий Пономарёв. № 1, стр. 16 МЭМС-гироскопы: прецизионное измерение угловой скорости в высокотемпературных средах. Джефф Ватсон (Jeff Watson). Перевод: Михаил Русских. № 1, стр. 18 Датчики для медицинского оборудования: энкодер с отражающим модулем. Александр Зейников. № 1, стр. 22 1000 Гц при разрешении 21 Мп, возможно ли это? Взгляд на современные серийно производимые высокоскоростные КМОПсенсоры. Александр Шведов. № 1, стр. 26 Сенсор для сверхбыстрого измерения потоков малой скорости. Никита Лютецкий. № 1, стр. 30 Революция в технологии датчиков CO 2. Денис Денисов, Владимир Апарин. № 1, стр. 34 Мониторинг состояния человека на одной микросхеме ADPD4100/ADPD4101. Йигит Йолери (Yigit Yoleri), Гуиxуе (Глен) Бу (Guixue (Glen) Bu). Перевод: Михаил Русских. № 2, стр. 12 Промышленные электронные компоненты от Panasonic Industry: самые высокие стандарты автоматизации и производства. № 2, стр. 18 Являются ли MEMS-акселерометры лучшим выбором для CbM-приложений? Крис Мерфи (Chris Murphy). Перевод: Святослав Зубарев. № 5, стр. 6 Специальные датчики давления промышленной группы «МИДА». Владимир Стучебников, Юрий Васьков, Евгений Савченко. № 5, стр. 12 Использование нестандартных термисторов с семейством преобразователей температуры в цифровой код. Логан Куммингс (Logan Cummings). Перевод: Михаил Русских. № 5, стр. 17

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


рубрикатор

Являются ли MEMS-акселерометры лучшим выбором для CbM-приложений? Крис Мерфи (Chris Murphy). Перевод: Святослав Зубарев. № 6, стр. 62 Решение для сбора данных с низким уровнем шума и малым энергопотреблением для сейсмических приборов и оборудования для разведки энергетических ресурсов. Стивен Кси (Steven Xie), Давид Гуо (David Guo). Перевод: Михаил Русских. № 9, стр. 38 Построение бесконтактных датчиков тока с использованием специализированной микросхемы К1382 НУ01 А5. Алексей Бычков. № 11, стр. 6 Плоскопанельные детекторы рентгеновского излучения компании BOE: технология и применение. Александр Самарин, Виктор Егоров. № 11, стр. 12

8. Фильтры Фильтры электромагнитных помех компании Cosel: японское качество и надежность, проверенные временем. Часть 1. Зачем нужны фильтры ЭМП, почему лучше купить, а не делать самим, как их выбирать и у кого приобретать. Владимир Рентюк, Геннадий Штрапенин. № 1, стр. 44 Фильтры электромагнитных помех компании Cosel: японское качество и надежность, проверенные временем. Часть 2. Предложения компании. Владимир Рентюк, Алексей Лосев. № 2, стр. 20

9. Преобразователи частот Преобразователь частоты со встроенным синтезатором и сверхмалым уровнем фазового шума. Владимир Макаренко. № 1, стр. 50

5. Разъемы

10. Кварцевые резонаторы

Как обеспечить более высокие уровни мощности для однопарного Ethernet? Использовать комбинированный подход с новым разъемом от TE Connectivity. Владимир Рентюк, Геннадий Штрапенин. № 4, стр. 19 Оптические модули Reflex Photonics от Smiths Interconnect для высокоскоростных межблочных подключений: решение проблемы межблочных соединений для специальных приложений. Владимир Рентюк. № 5, стр. 24 Кабельные вводы компании BEISIT с полным электромагнитным экранированием. Владимир Рентюк. № 5, стр. 32 Разъемы от компании Amphenol Aerospace: в космосе и на Земле. Владимир Рентюк. № 6, стр. 68 Разъемы ODU АМС для военной техники. Марина Самойлова. № 7, стр. 24

Исследование высокочастотных кварцевых резонаторов среза SC. Сергей Демин, Александр Южалкин, Сергей Пашков, Юлия Глазунова, Семен Богуславский. № 2, стр. 44 Серия кварцевых резонаторов Arkh.3G. Перевод: Алексей Лобанов. № 8, стр. 46

6. Реле Электромагнитные реле компании Omron серий G6RN и G2RL: лошадей на переправе не меняют, не правда ли? Владимир Рентюк. № 1, стр. 38

7. Установочные и коммутационные элементы Новые соединители компании Fischer Connectors для защищенных изделий и кабельные сборки на их основе. Алексей Верещагин. № 3, стр. 16 Первые отечественные фазостабильные кабельные СВЧ-сборки повышенной теплостойкости. Алексей Прокимов, Андрей Лобанов, Борис Пермяков, Владимир Левчук. № 6, стр. 48 Улучшение функциональных характеристик защищенной измерительной аппаратуры при использовании соединителей компании Fischer Connectors. Алексей Верещагин. № 11, стр. 20 МDR-соединители компании 3 М: надежное решение для современных систем передачи данных. Александр Самарин, Виктор Егоров. № 11, стр. 24

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

11. Беспроводная передача энергии Потери переменного тока в катушках систем беспроводной передачи энергии. Кристоф Утчик (Christoph Utschick), Кристиан Мерц (Christian Merz), Кем Сом (Cem Som). Перевод: Владимир Рентюк. № 2, стр. 32

12. Генераторы Миниатюрный кварцевый генератор для «умных» взрывателей снарядов. Максим Бойчук, Вадим Егоров, Алексей Рыбаков, Любовь Васильева. № 4, стр. 16

13. Элементы защиты Оборудование и методы проверки РЭА на устойчивость к электростатическим разрядам и элементы для ее защиты от их воздействия. Денис Денисов. № 3, стр. 22 Как выбрать надежную защиту для цепей питания и других элементов схемы? Диармуид Кэри (Diarmúid Carey). № 4, стр. 26 Использование тиристоров SIDACtor компании Littelfuse для защиты оборудования на линиях электропитания напряжения переменного тока. Тодд Филлипс (Todd Phillips). Перевод: Иван Полянский. № 4, стр. 34 Применение TVS-компонентов компании Semtech для защиты электронного оборудования. Константин Верхулевский. № 5, стр. 38 Ограничитель перенапряжения с низким током покоя и сопротивлением ключа. Владимир Макаренко. № 6, стр. 56 Защита портов PoE/PoE++. Тодд Филлипс (Todd Phillips). № 9, стр. 46 IsoMOV — инновационные компоненты компании BOURNS для защиты цепей. Владимир Рентюк. № 9, стр. 50

109

Защита высокоскоростных портов. Тодд Филлипс (Todd Phillips). № 10, стр. 12 Защита низкоскоростных интерфейсов и источников питания. Тодд Филлипс (Todd Phillips). № 11, стр. 30

14. Усилители Программируемый инструментальный усилитель с нулевым дрейфом. Владимир Макаренко. № 8, стр. 56 Усилитель с программно-управляемым коэффициентом усиления обеспечивает функциональную гибкость системы. Хуман Хашеми (Hooman Hashemi). Перевод: Евгений Потемкин. № 10, стр. 16

15. Харвестеры Модуль компании RECOM упрощает решения сбора и использования свободной энергии. Владимир Рентюк. № 9, стр. 76

16. Интерфейсы Преимущества приемопередатчиков интерфейса RS-232 с встроенными TVS-диодами. Тан-Куй Цзэн (Tang-Kui Tseng), Су-Сянь Ву (Szu-Hsien Wu). Перевод: Владимир Апарин, Денис Денисов. № 1, стр. 54 Как микросхема MAC-PHY стандарта 10BASE-T1L упрощает подключение к сети Ethernet системы с малопотребляющим процессором. Морис О’Брайен (Maurice O’Brien), Фолькер Е. Голлер (Volker E. Goller). Перевод: Михаил Русских. № 5, стр. 54 Однопарный Ethernet: передача данных о состоянии оборудования и питание по двум проводам. Морис О'Брайен (Maurice O'Brien). Перевод: Евгений Потемкин. № 5, стр. 58 Würth Elektronik: однопарный Ethernet для индустриальных приложений. Фабиан Форнхаген (Fabian Vornhagen), Мартин Лейхенседер (Martin Leihenseder), Роберт Демхартер (Robert Demharter), Исмаэль Молина Альба (Ismael Molina Alba), Саймон Марк (Simon Mark), Хаиро Бустос (Jairo Bustos), Маттиас Фриче (Matthias Fritsche). Перевод и дополнения: Владимир Рентюк. № 5, стр. 62 Чувствительная ко времени автомобильная сеть с Ethernet AVB. Фрэнсис Иельш (Francis Ielsch). Перевод и дополнения: Владимир Рентюк. № 7, стр. 30 Оптимизация шины FieldBus поможет совершенствовать интеллектуальные системы. Неил Куинн (Neil Quinn), Ричард Анслоу (Richard Anslow). Перевод: Михаил Русских. № 10, стр. 26 Почему 10BASE-T является недостающим каналом Ethernet для автомобильной связи. Фионн Херли (Fionn Hurley). Перевод: Евгений Ивашенко. № 12, стр. 38

17. Коммутаторы Как программно-конфигурируемое оборудование помогает повысить гибкость промышленных модулей ввода/вывода. Хакан Уэнлу (Hakan Uenlue). Перевод и дополнения: Владимир Рентюк. № 1, стр. 60 www.kite.ru


110

рубрикатор

18. Источники питания Биполярные двунаправленные источники питания постоянного тока с входным напряжением 5–24 В. Виктор Хасиев, Евгений Ивашенко. № 2, стр. 40 Контроллер повышающего преобразователя с режимом PassThru для устройств, требующих высокой частоты переключения. Виктор Хасиев (Victor Khasiev). Перевод: Евгений Потемкин. № 4, стр. 46 Оптимизация систем питания сигнальной цепи. Часть 1. Какой уровень шума источника питания является допустимым? Патрик Эррги Пасакиан (Patrick Errgy Pasaquian), Пабло Перес (Pablo Perez). № 4, стр. 50 Мощный микромодуль с выходным током 125 А. Владимир Макаренко. № 5, стр. 46 Виртуальный аккумулятор 48 В для электромобилей на базе DC/DC-модуля BCM компании Vicor. Иан Мазса (Ian Mazsa). Перевод: Александр Серяпин. № 6, стр. 26 Четырехканальный изолятор со встроенным DC/DC-преобразователем. Владимир Макаренко. № 6, стр. 30 Понижающий DC/DC-преобразователь: от дискретной схемы до модуля и объемного 3D-корпусирования. Стив Робертс (Steve Roberts). № 6, стр. 33 Каскадный понижающий преобразователь с несколькими выходами от 60 до 0,8 В и высокой степенью конфигурации. Майкл Шрайвер (Michael Shriver). Перевод: Евгений Потемкин. № 6, стр. 36 Регулируемый прецизионный высоковольтный источник питания для формирования напряжения смещения датчиков. Лайонел Уоллес (Lionel Wallace), Джейсон Фишер (Jason Fischer), Бенджамин Даутс (Benjamin Douts). № 7, стр. 36 Источники электропитания: из прошлого в будущее. Стив Робертс (Steve Roberts). № 7, стр. 42 Новое поколение малошумящих DC/DCпреобразователей Silent Switcher и особенности их применения. Геннадий Штрапенин. № 9, стр. 56 Оптимизация систем электропитания для сигнальных цепей. Часть 3. Радиочастотные приемопередатчики. Джон Мартин Дела Круз (John Martin Dela Cruz), Пабло Перез (Pablo Perez). № 9, стр. 63 Пиковая и средняя мощность: как выбрать источник питания правильно. Стив Робертс (Steve Roberts). Дополнения: Владимир Рентюк. № 10, стр. 20 Питание датчиков для систем технического обслуживания по состоянию. Стив Робертс (Steve Roberts). № 10, стр. 23

19. АЦП/ЦАП Прецизионный микромодуль ADAQ4003 для систем сбора данных. Владимир Макаренко. № 1, стр. 66 Упрощаем процесс разработки прецизионных систем сбора данных за счет использования решений µModule. Майтхил Паччигар

(Maithil Pachchigar). Перевод: Святослав Зубарев. № 2, стр. 49 Решение для прецизионного аналогового вывода в ПЛК с надежной защитой. Давид Форде (David Forde), Клаире Кроке (Claire Croke), Джин МкАдам (Jean McAdam). Перевод: Дмитрий Василенко. № 3, стр. 30 Сложный путь от преобразователя физической величины к АЦП: что делать инженеру? Хоуман Хашеми (Hooman Hashemi). Перевод: Михаил Русских. № 8, стр. 62 Оптимизация систем электропитания для сигнальных цепей. Часть 2: высокоскоростные ЦАП и АЦП. Джон Мартин Дела Круз (John Martin Dela Cruz), Патрик Эррги Пасакьян (Patrick Errgy Pasaquian). Перевод: Евгений Ивашенко. № 8, стр. 68 Гальваническая изоляция для АЦП последовательного приближения. Уилфрид Платзер (Wilfried Platzer). Перевод: Михаил Русских. № 12, стр. 42

20. ЦСП Использование встроенных аппаратных ускорителей FIR и IIR в цифровых сигнальных процессорах. Митеш Мунат (Mitesh Moonat), Санкет Наяк (Sanket Nayak). Перевод: Евгений Ивашенко. № 3, стр. 32

21. Память

Программно-аппаратный комплект на основе микроконтроллера 1921ВК035 и 16‑разрядных ЦАП производства АО «НИИЭТ». Станислав Калиниченко, Александр Дыхно, Илья Суров. № 3, стр. 44 Новые микроконтроллеры Renesas RA с ядром Cortex-M23 и M33. Александр Русу. № 4, стр. 56 Микроконтроллер Renesas RA4W1 с Bluetooth Low Energy для улучшения качества медицинского обслуживания. Перевод: Андрей Лебедев. № 5, стр. 72 Применение микроконтроллера RA6T1 компании Renesas Electronics для прогнозного технического обслуживания электродвигателей. Перевод: Владимир Рентюк. № 6, стр. 74 Особенности микроконтроллеров STM32G0. Александр Русу. № 7, стр. 10 Интеллектуальная интеграция: объединение аналоговых компонентов с микроконтроллерными ядрами ARM с целью решения сложных задач встраиваемых систем. Колин Дагган (Colin Duggan), Денис Лабрек (Denis Labrecque). Перевод: Михаил Русских. № 7, стр. 17 Новый модуль Wiznet W5100S-EVBPico — Raspberry Pi Pico с аппаратной поддержкой Ethernet. Сергей Долгушин. № 11, стр. 38

Память NOR-флэш малой емкости еще долго будет востребована во встроенных приложениях. Владимир Рентюк. № 8, стр. 74 Устройства компании Foremay для хранения и защиты важной информации. Константин Верхулевский. № 10, стр. 32

Восьмиканальная 18‑разрядная система сбора данных с одновременной дискретизацией во всех каналах. Владимир Макаренко. № 3, стр. 36

22. ПЛИС, ПАИС

25. Системы на модуле

Расчет, анализ и реализация КИХ-фильтра на FPGA с использованием IP-модуля FIR Compiler 7.2. Инна Ушенина. № 2, стр. 57 Обзор и перспективы применения ПЛИС Xilinx Versal AI Edge. Илья Тарасов. № 7, стр. 51 ПЛИС Mach-NX — оптимальное решение для надежных систем. Боб Уиллер (Bob Wheeler). Перевод: Дмитрий Комолов. № 9, стр. 68 Семейство Lattice CertusPro-NX вдохнет новую жизнь в ПЛИС общего назначения. Аакаш Джани (Aakash Jani). Перевод: Дмитрий Комолов. № 9, стр. 72 Lattice SensAI 4.1: набор средств и инструментов для реализации алгоритмов AI/ML в ПЛИС с низким энергопотреблением. Перевод: Дмитрий Комолов. № 11, стр. 34 Проект динамической смены конфигурации ПЛИС семейства MAX10. Часть 1. Дмитрий Дайнеко. № 12, стр. 12 Методики проектирования встроенных процессоров на FPGA. Валерий Соловьев. № 12, стр. 20

Системы на модуле Kria компании Xilinx. Илья Тарасов. № 6, стр. 80

23. Микроконтроллеры Новая линейка AVR-микроконтроллеров. Алексей Гребенников. № 1, стр. 73

24. Системы сбора данных

26. Радиационно стойкие компоненты Радиационно стойкие преобразователи напряжения для работы от сети постоянного повышенного напряжения 100/120 В. Виктор Жданкин. № 1, стр. 78 Снижение влияния радиации на ПЛИС, выполненные по технологии статического ОЗУ. Дмитрий Лешев. № 2, стр. 66 Требование отсутствия эффекта низкой интенсивности для компонентов в гибридных схемах. Брайан Беннет (Brian Bennett). Перевод: Виктор Жданкин. № 3, стр. 49 Простая модернизация DC/DC-преобразователя для применения в космической аппаратуре заменой радиационно стойких MOSFET-транзисторов. Эндрю Попп (Andrew Popp), Бьёрн Содерберг (Bjarne Søderberg). Перевод: Виктор Жданкин. № 7, стр. 46 Радиационно стойкие модули DC/DC-преобразователей напряжения для систем электроснабжения с постоянным напряжением. Виктор Безродный. № 12, стр. 48

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


рубрикатор

IV. Блоки питания Источники питания MEAN WELL для медицинского применения. Андрей Федоров. № 3, стр. 52 Новинки компании P‑DUKE Power для организации систем электропитания. Константин Верхулевский. № 4, стр. 62 Источник бесперебойного питания с входным напряжением 60 В на основе микросхем LTC3890 и LTC4000. Виктор Хасиев (Victor Khasiev), Габино Алонсо (Gabino Alonso). Перевод: Михаил Русских. № 6, стр. 20 Проектирование трансформатора для 15‑Вт обратноходового преобразователя с несколькими выходами. Сучетан Сваруп Вайдьянатх (Suchethan Swaroop Vaidyanath), Джон Дороса (John Dorosa). Перевод: Владимир Рентюк. № 6, стр. 40 Импульсные блоки питания универсального применения серий LM/LMF и LI/LIF компании MORNSUN. Владимир Рентюк. № 10, стр. 41 Источники питания Mean Well для электрических зарядных станций и зарядных устройств. Максим Орлов. № 10, стр. 50 Новые трехфазные блоки питания серии DRB мощностью 120 и 240 Вт на DIN-рейку от TDK-Lambda. Владимир Рентюк. № 11, стр. 44

V. Силовая электроника Компоненты Apex Microtechnology на основе карбида кремния. Константин Верхулевский. № 1, стр. 86 Уменьшение размеров, шумов и эксплуатационных отказов источников питания для транспортных применений. Кевин Спир (Kevin Speer), Нитеш Сатиш (Nitesh Satheesh), Марк Роммершвинкель (Marc Rommerswinkel). Перевод: Андрей Колпаков. № 4, стр. 68 Программируемые цифровые драйверы силовых транзисторов Microchip AgileSwitch. Пётр Поздняков. № 5, стр. 76

VI. ХИТ (химические источники питания) 18‑элементный монитор параметров аккумуляторных батарей. Владимир Макаренко. № 5, стр. 80

VII. Дисплеи Обзор современных промышленных дисплеев компании Tianma Microelectronics. Александр Самарин. № 2, стр. 73 Перспективные дисплейные технологии Toppan Printing. Индустриальные TFT ЖК-панели компании для ответственных приложений. Александр Самарин, Андрей Смирнов. № 3, стр. 57 Лучшие дисплейные продукты 2021 года. Александр Самарин. № 8, стр. 26

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021

TASEL — прозрачные тонкопленочные электролюминесцентные дисплеи Lumineq. Технология и области применения. Александр Самарин, Андрей Смирнов. № 8, стр. 34 IPS-дисплеи высокой яркости и модули на их базе от компании Riverdi. Сергей Долгушин. № 8, стр. 42 Дисплейная продукция компании BOE: технологии, области применения и перспективные разработки. Александр Самарин, Виктор Егоров. № 10, стр. 54

VIII. Встраиваемые системы Безопасность «Интернета вещей», встроенная в карты памяти. Универсальное решение от Swissbit. Перевод: Дмитрий Рудаков. № 1, стр. 92 Одиннадцать веских причин для перехода на процессоры 11‑го поколения. Андреас Бергбауэр (Andreas Bergbauer). № 3, стр. 68

IX. Проектирование Направления развития программно-алгоритмического комплекса оптико-электронных систем наблюдения за удаленными объектами. Владимир Махов, Владислав Широбоков, Александр Емельянов, Александр Закутаев. № 1, стр. 100 Статический временной анализ в физическом проектировании цифровых интегральных схем. Александр Илькив, Сергей Подрядчиков. № 2, стр. 96 Расчет цифровой следящей системы АСУ ТП с запаздыванием в прямой цепи и компенсацией скоростной ошибки. Анатолий Коршунов, Артем Ермоленко. № 7, стр. 72

1. Схемотехника Разработка приложений для СнК SmartFusion2 с использованием Libero SoC и SoftConsole. Часть 15. Пример проекта видеокамеры. Пётр Поздняков. № 1, стр. 94 Распознавание человека и животного с помощью доплеровского радара. Евгений Павлюкович. № 3, стр. 74 Особенности передачи цифровых сигналов через барьеры искрозащиты. Николай Лемешко, Михаил Горелкин, Павел Струнин. № 3, стр. 80 Использование eGaN-транзисторов в лазерных драйверах гарантирует максимальную производительность лидаров. Джон С. Глейзер (John S. Glaser). Перевод и дополнения: Владимир Рентюк. № 4, стр. 74 Вопросы построения многоканальных генераторов случайных сигналов с регулируемыми коэффициентами взаимной корреляции. Сергей Лехин, Виктор Николаев. № 4, стр. 86 Разработка приложений для СнК SmartFusion2 с использованием Libero SoC и SoftConsole. Часть 16. Развертывание нейросетей в ПЛИС Microchip. Пётр Поздняков. № 4, стр. 90

111

Простой способ защитить данные. Память со встроенным криптографическим модулем от Swissbit. Хубертус Гроббель (Hubertus Grobbel). Перевод: Дмитрий Рудаков. № 4, стр. 96 Контроль состояния резистора в системах с нейтралью, заземленной через сопротивление. Марк Поллок (Mark Pollock). Перевод: Иван Полянский. № 5, стр. 86 Принципы построения SDR-приемников при получении аналитического сигнала на аналоговой элементной базе. Василий Филимонов. № 6, стр. 100 Как организовать эффективную комплексную защиту интерфейсов оборудования и что для этого имеется. Владимир Рентюк, Геннадий Штрапенин. № 7, стр. 56 Разработка архитектуры универсального LDPC-кодера. Даниил Трофимов, Дмитрий Катин. № 7, стр. 66 Прикладные методы определения изоморфизма подграфов СЭП в САПР электронных схем. Сергей Курапов, Максим Давидовский. № 8, стр. 86 Расчет цифровой следящей системы АСУ ТП с запаздыванием при компенсации ошибок по скорости и ускорению. Анатолий Коршунов, Артем Ермоленко. № 9, стр. 95 Квантование коэффициентов малоразрядного БИХ-фильтра методом динамического программирования. Владимир Бугров. № 10, стр. 62 Разводка печатной платы для снижения ЭМИ на примере четырехключевого повышающе-понижающего контроллера с одинарным и двойным «горячим» контуром. Ен Хван Чо (Yong Hwan Cho), Кит Солуша (Keith Szolusha). Перевод: Евгений Ивашенко. № 10, стр. 70 Использование в методике ASMD-FSMD операторов неблокирующего назначения для повышения быстродействия цифровых устройств. Валерий Соловьев. № 11, стр. 50 Построение рисунка плоской части графа СЭП. Метод базисов. Сергей Курапов, Максим Давидовский. № 11, стр. 54 Понимание и использование драйверов для работы без операционной системы и драйверов платформы. Махеш Фальке (Mahesh Phalke). Перевод: Михаил Русских. № 11, стр. 57 Алгоритм оценки импульсной характеристики канала связи на основе цифровой обработки сигналов. Василий Филимонов, Алексей Давыдов. № 11, стр. 62 Расчет и оптимизация RC-демпфера для симистора. Валентин Володин. № 12, стр. 59 ADI Precision Studio от компании Analog Devices. Владимир Макаренко. № 12, стр. 66

2. САПР Работа с микроконтроллерами STM32 семейства Cortex-M3 в программной среде Proteus 8.11. Татьяна Колесникова. № 2, стр. 84 www.kite.ru


112

рубрикатор

Проектирование и исследование комбинационных устройств сдвига и сравнения двоичного кода в программной среде Multisim 14.0. Татьяна Колесникова. № 3, стр. 88 Работа с микроконтроллерами STM32 семейства Cortex-M3 в программной среде Proteus 8.11. Часть 2. Татьяна Колесникова. № 5, стр. 90 Работа с микроконтроллерами STM32 семейства Cortex-M3 в программной среде Proteus 8.11. Часть 3. Татьяна Колесникова. № 6, стр. 87 Проектирование и исследование работы триггеров в программной среде Proteus 8.11. Татьяна Колесникова. № 7, стр. 76 Проектирование схем микроэлектронных устройств с использованием датчиков температуры в Proteus. Татьяна Колесникова. № 9, стр. 82 IAR: пошаговая установка среды разработки IAR Embedded Workbench и правила переноса лицензий под руководством LMS2. Татьяна Мамаева. № 10, стр. 76 ADI Precision Studio от компании Analog Devices. Владимир Макаренко. № 10, стр. 79 Применение программы CodeVisionAVR для управления LCD-дисплеями в Proteus 8.11. Татьяна Колесникова. № 10, стр. 86 ADI Precision Studio от компании Analog Devices. Владимир Макаренко. № 11, стр. 66 Проектирование схем микроэлектронных устройств с использованием клавиатуры в Proteus. Татьяна Колесникова. № 12, стр. 76

3. Моделирование работы Проектирование пассивных гауссовых фильтров в дискретном пространстве параметров. Владимир Бугров. № 3, стр. 101 Моделирование передачи питания с помощью симулятора LTspice. Ричард Эншлоу (Richard Anslow). № 7, стр. 91

4. Электромагнитная совместимость Проблемы использования реверберационной камеры при испытаниях на восприимчивость к радиочастотному электромагнитному полю. Алексей Шостак. № 5, стр. 102 Дополнительные конструктивные элементы помогают решать проблемы ЭМС. Владимир Рентюк. № 8, стр. 90 Развитие процедур оценки соответствия безэховых экранированных камер для целей ЭМС в различных отраслях применения. Андрей Смирнов, Константин Басалаев, Юрий Занин, Филипп Колдашов. № 11, стр. 73 Как снизить уровень помехоэмиссии устройства на 80 дБ: пример из практики сервиса «скорая ЭМС-помощь» от компании Würth Elektronik. Наталья Солошенко. № 12, стр. 90

5. Надежность Методика оценки достоверности прогнозирования технического состояния образцов РАВ на стадии жизненного цикла экс-

плуатации. Абдулнасир Магомедов, Михаил Борисевич. № 5, стр. 106

X. Технологии Конструктивно-технологические решения на базе структур кремний-на-диэлектрике с тренч-изоляцией для применения в микроэлектронике. Владимир Громов. № 7, стр. 100 Температура нагрева биологического объекта под действием СВЧ-мощности с учетом метаболических процессов. Александр Курушин. № 9, стр. 106 Термоинтерфейсы от Würth Elektronik: упрощение отвода тепла и путь к повышению надежности оборудования. Владимир Рентюк. № 10, стр. 98

1. Измерительная аппаратура Выбор входного импеданса осциллографа: 50 Ом или 1 МОм? Эрик Богатин (Dr. Eric Bogatin). № 1, стр. 106 Спектральные эллипсометры Semilab SE‑1000 и SE‑2000 для научно-исследовательских и производственных задач. Илья Новожилов. № 1, стр. 108 Достоверность отображения сигналов в силовой электронике: внутренние проблемы в шинах питания и анализ процессов в системе электропитания при изменении тока нагрузки. Перевод: Алексей Шиганов. № 2, стр. 104 Методы исключения влияния анализатора спектра на результаты измерения гармоник. Флориан Рамиан (Florian Ramian). № 2, стр. 110 Использование генератора сигналов серии AFG‑73000 для симуляции зашумленного выходного сигнала. Перевод: Сергей Верижников. № 3, стр. 108 Гальваническая развязка позволяет устранить типичные источники ошибок при дифференциальных измерениях. Том Невилл (Tom Neville). № 4, стр. 100 Новое решение для тестирования передатчиков и генераторов опорной частоты для стандарта PCI EXPRESS 5.0. № 4, стр. 104 Сделано в Италии: генераторы сигналов произвольной формы АКИП. Дмитрий Серков. № 4, стр. 105 Использование осциллографов R&S RTA/RTM для исследования переходных процессов в генераторах, управляемых напряжением. Николай Лемешко, Михаил Горелкин, Павел Струнин. № 4, стр. 108 Новое поколение осциллографов высокого разрешения от Teledyne LeCroy. Алексей Шиганов. № 5, стр. 108 Три примера решений для производственного тестирования аккумуляторных батарей. Андреа Винчи (Andrea Vinci). № 8, стр. 100 Повышение эффективности измерений помехоэмиссии при использовании современных измерительных приемников на основе быстрого преобразования Фурье. Николай Лемешко, Дмитрий Богаченков. № 8, стр. 104

В е к т о р н ы е а н а л и з ат о р ы ц е п е й с е рии АКИП‑6604. Дмитрий Серков. № 8, стр. 110 Комбинированные четырехквадрантные усилители аудиочастот AE Techron серии 7100: рабочие лошадки для лаборатории. Олег Ростокинский. № 9, стр. 102 Функциональные генераторы против генераторов сигналов произвольной формы на примере тестирования автомобильного Ethernet. Алексей Мендеров. № 10, стр. 108 Измерения параметров широтно-импульсной модуляции с использованием автоматических измерений на осциллографах серии RTO6 компании Rohde & Schwarz. Николай Лемешко, Михаил Горелкин, Павел Струнин. № 11, стр. 86 Электронная нагрузка переменного и постоянного тока АКИП 1376 с имитацией емкостного и индуктивного характера нагрузки. Алексей Шиганов. № 12, стр. 94 Измерения параметров импульсов интегральным методом с применением осциллографов серии R&S RTO6. Николай Лемешко, Михаил Горелкин, Павел Струнин. № 12, стр. 97

XI. История Переменный ток — начало начал. Стив Робертс (Steve Roberts). Перевод: Владимир Рентюк. № 11, стр. 98

XII. На правах рекламы Микросхема универсального операционного усилителя 1467УД8Т категории качества «ВП». № 1, стр. 49 Микросхема измерительного операционного усилителя 1467УБ1У категории качества «ВП». № 2, стр. 30 Полевой р‑канальный транзистор 2ПЕ116А9 категории качества «ВП». № 3, стр. 20 Микросхема категории качества «ВП» аналогового температурного сенсора. № 4, стр. 14 Мощный полевой р‑канальный транзистор категории качества «ВП». № 7, стр. 34 Микросхемы категории качества «ВП» операционных усилителей с малыми входными токами. № 8, стр. 54 Промышленные шкафы из поликарбоната серии Fibox ARCA. № 8, стр. 98 Полупроводниковые приборы в корпусах КД-3 и КД-34. № 9, стр. 36 Связь по сети Ethernet: как использовать платформу Arduino в сетях IoT и IIoT. № 10, стр. 38 Микросхема 5559ИН85Т быстродействующего приемопередатчика интерфейса RS485/422. № 11, стр. 43 Микросхемы быстродействующих приемопередатчиков интерфейса LVDS 5560ИН7У и 5560ИН8У. № 12, стр. 40

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 12 '2021


Реклама


12+ Компоненты и технологии

C o m p o n e n t s www.kit-e.ru

&

Te c h n o l o g i e s

№ 12 ’2021 (декабрь)

ISSN 2079-6811

№ 12 ’2021

ПЛИС, ПАИС

декабрь

Пассивные компоненты AC/DC-источников питания

Components & Technologies

Радиационно стойкие DC/DC

Реклама

Реклама

для систем с постоянным напряжением

Расчет и оптимизация RC-демпфера для симистора


Turn static files into dynamic content formats.

Create a flipbook
Issuu converts static files into: digital portfolios, online yearbooks, online catalogs, digital photo albums and more. Sign up and create your flipbook.