Magazine autumn00 coverstory

Page 1

Cover

Story

Measurement and Analysis of Reticle and Wafer-Level Contributions to Total CD Variation Moshe Preil, Ph.D., KLA-Tencor

The impact of reticle CD variations on wafer-level CD performance has been growing with the trend toward sub-wavelength lithography. Reticle manufacturing, CD specifications and qualification procedures must now take into account the details of the wafer fab exposure and process conditions, as well as the mask process. The entire pattern transfer procedure, from design to reticle to wafer to electrical results, must be viewed as a system engineering problem. In this paper we show how hardware and software tools, procedures, and analysis techniques are being developed to support the demanding requirements of the pattern transfer process in the era of 0.13 micron lithography. Introduction

In the not-too-distant past, reticle CD qualification was the realm of specialists: those few individuals who understood the arcana of reticle specifications, mask-making equipment, procedures and processes, and the unique technical jargon of the mask shop. Mask-related conferences were the domain of these specialists, with limited involvement from wafer fab engineers. With few exceptions, most lithography engineers knew little about how an incoming reticle had been qualified. The assumption was that it met the specs, so it must be a “good” reticle; no further information was required. The reticle was viewed as a consumable, delivered to the fab by external sources just like photoresist, DI water, and other cleanroom supplies. With the ever-shrinking CDs on today’s reticles and the advent of complex optical extensions such as phase shift masks (PSM) and optical proximity correction (OPC), reticle-related issues are becoming an increasing part of waferlevel CD control. More and more lithographers are actively investigating the role of reticle CD variations in the final wafer CD distribution. A clear sign of this trend is the increasing attendance and active participation by fab engineers at the BACUS Symposium on Photomask Technology, once the exclusive gathering of the reticle community (the “chrome users” in the acronym BACUS). Autumn 2000

Yield Management Solutions

15


C

O

V

E

R

S

T

CD control is now so tight that no one can afford to overlook the critical role of reticle CD variation in establishing viable wafer-level CD error budgets. The dreaded mask error enhancement factor1 (MEEF) means reticle CD errors do not transfer linearly to the wafer level. The lithography process involves a complex transfer function from reticle to wafer. The details of this transfer function must be understood for each type of reticle feature printed. OPC and PSMs add another level of complexity as they add critical interaction terms between reticle and wafer patterns. Only by addressing the entire pattern transfer process at a systems engineering level can users establish workable error budgets, wafer and reticle specifications and inspection strategies, and process control limits2. Separating reticle and wafer CD errors

With the total allowed CD variation now in the 10-30 nm range, every nanometer of allowed variation must be carefully assigned in the error budget. Allowing for excessive variation in one component could impose unachievable targets for other elements of the budget. The development of viable CD-error budgets requires a detailed analysis of the sources of variation3 (SoV) and their impact on the final CD distribution. SoV studies are an increasingly important tool in developing both processes and advanced control algorithms. One of the primary deliverables of the NIST ATP4 project for poly gate CD control being managed by KLA-Tencor is an SoV study to drive feed forward and feed back advanced process control (APC) procedures. Effectively decomposing the sources of CD error requires separating reticle CD variations from the wafer level CD distribution. This separation is not simply a matter of subtraction due to the complexities of the mask error enhancement factor. 16

Autumn 2000

O

R

Y

The concept of the MEEF has been discussed extensively in the literature5,6,7. In fact, the MEEF is really a misnomer; it is not a simple multiplicative factor, but rather a function of feature type, size, stepper conditions (numerical aperture, sigma, aberrations, focus and exposure settings), and process parameters—even the specific type of photoresist used in the process can affect the MEEF. It is not enough to say that a given feature has an error of N nm and an MEEF of M, leading to a wafer level error of (N*M)/R, where R is the reduction ratio of the stepper. In order to separate the reticle contributions from the total CD variation, the MEEF must be calibrated for each feature type over the full range of process conditions. Figure 1 illustrates the basic concept and tools for separating reticle and wafer effects. The figure is divided into reticle and wafer spaces, but the two domains are closely coupled by the complex transfer function that contains all of the details of the MEF. As shown schematically in the figure, the CD signature of the reticle can be significantly altered through Mask CD

Mask CD Uniformity

the pattern transfer process. In this case, the radial variation seen in the reticle data is no longer apparent in the wafer level data due to the addition of other, non-radial signatures and differences in the types of features being printed in each portion of the reticle field. Note that the wafer level data is shown here for only one fixed set of process conditions. To fully comprehend the impact of mask errors across the entire process window, wafer data needs to be collected over many combinations of focus, exposure, and other key parameters. The data can be analyzed both experimentally and through modeling to understand all of the contributions and to extract the underlying sources of variation. The experimental data at both reticle and wafer levels is collected here with the KLA-Tencor 8200 series CD SEMs. This family of SEMs is uniquely suited to this task through its use of laser interferometer stages which allows the user to measure the exact same features at both reticle and wafer levels for accurate, feature-specific correlation. Combined with automatic recipe Other reticle data (OPC, PSM layout, inspection results)

8250-R

Measure

Stepper

Lens Aberration Data (optional)

Process

Process Data

RETICLE SPACE

PROLITH Simulation of Reticle to Wafer Pattern Transfer

Wafer CD

8200

WAFER SPACE

Measured Wafer CD Uniformity

Simulated-Measured, Wafer Mask: Isolated Sources of Variation

Simulated Wafer CD Uniformity

Figure 1. Separating reticle and wafer contributions to the CD error distribution.

Yield Management Solutions


C

creation based on reticle layout data, the CD SEMs can quickly collect large volumes of data correlating specific features from the reticle to the wafer over a wide range of focus and exposure conditions. The wafer CD data can be analyzed automatically using Klarity ProDATA software to calculate the common process window across multiple critical features8. In addition, the SEM images of the reticle and as-printed features can be overlaid to provide a visual assessment of systematic reticle-to-wafer pattern transfer effects. Differences in the source and resultant image can be quantified using the Critical Shape Measurement capability available with ProDATA. Finally, MEEF values which have been determined from prior experiments or modeling can be applied to the reticle CD data to predict the expected wafer CD pattern. Differences between the expected and measured wafer results indicate other sources of variance. In this example, the pattern that emerges after subtracting the radial reticle signature, shows a clear top-to-bottom variation across the lens field, indicating a tilted focal plane. Even with the highest speed SEMs available, it would be prohibitively slow to measure wafer data over all combinations of focus, exposure and other critical process parameters. This is where simulation proves its value in bridging the gap between reticle space and wafer space. In this case, the modeling is shown in Figure 1 using known lens aberrations and measured reticle CD data; these inputs are run through the PROLITH modeling program to predict the expected wafer level results9. Calibrating the simulation against experimental data provides confidence that the simulated results will be valid over a much broader and more detailed range of process conditions than are accessible experimentally. The combination of

O

V

reticle and wafer SEMs, and modeling provides the tools needed by both mask shop and wafer fab engineers to fully characterize the impact of reticle CD errors on wafer level process windows. This information can then be used to set reticle level CD specifications for even the most advanced processes. Reticle CD qualification

Once the reticle CD specifications have been established, a strategy is needed to verify reticle CD performance. Historically, reticle CD qualification has been done with optical measurements on a limited number of special test structures. While this has been adequate in the past, it is risky—to say the least—to assume that millions of complex device geometries are in spec based on data from a few dozen test sites. State-ofthe-art reticle inspection systems and algorithms have been developed to detect localized CD errors anywhere within the reticle; however, these are error detectors, not metrology tools. The reticle SEMs can measure large numbers of reticle CDs in a reasonable period of time, but even the

p

Measure

E

R

S

T

O

R

Y

SEM can only sample a fraction of the full reticle pattern. A complete solution to reticle CD qualification requires both reticle inspection and CD-SEM measurement10. The inspector covers 100 percent of the reticle at lower CD resolution; the SEM can sample the reticle with much higher accuracy. Even this combination cannot ensure that every single feature is within spec; but, fortunately, the mask-making process is fairly uniform. Any error in the writing or etching of the reticle is likely to cover a large enough area to be captured by the inspection and metrology tools. Careful study of the spatial frequencies of CD variation across the reticle can allow the user to select the SEM sampling frequency for the optimal characterization of the complete reticle CD distribution. The role of optical extensions

The combination of reticle, CD SEMs, and simulation is also a powerful tool for calibrating optical extension processes and developing the rules and models used to apply these extensions to product reticles. Figure 2 Measure

OE Test Reticle

Process WAFERS

Development

OE SOFTWARE GENERATE RULES GENERATE OE RETICLE

DATA

Process

WAFERS

Compensated Reticle

Starting Design

Production

Dynamic Process Measure

Measure

Calibration Flow Product Flow

OE Software Flow Data/Control Flow

Figure 2. Schematic diagram of the optical extensions process flow..

Autumn 2000

Yield Management Solutions

17


C

O

V

E

R

S

T

shows a schematic illustration of the optical extensions process flow. Model or rule-based corrections are determined from the calibration cycle shown in the upper part of the figure. The use of CD-SEMs to measure both reticle and wafer features is absolutely essential in this cycle to ensure that the corrections derived from this activity are as accurate as possible. In principle, these corrections can then be applied to product reticles in a straightforward manner. In practice, the corrections need to be fine-tuned through numerous iterations of the calibration cycle. A large part of the difficulty in implementing optical extensions is their sensitivity to numerous process variables and the dynamic variations in these parameters, even in the best fabs. As a result, the process conditions which prevail when production designs are manufactured may be subtly different from those that existed when the calibration was performed. The calibration cycle must be performed over a wide enough range of process conditions to ensure that the extensions applied to product reticles will result in acceptable wafer patterns over a maintainable process window, not just under ideal exposure conditions. Analysis of these calibration lots allows the user to predict which specific types of OE structures have the narrowest process windows. Manufacturing insertion of optical extensions requires the implementation of effective monitoring procedures to ensure that even these most critical features will be printed correctly and consistently. Another difficulty in implementation is that, even if the optical extensions are perfectly optimized for adequate process windows, this does not guarantee that the actual reticle will be a perfect reproduction of that design11,12. Many OPC features are extremely small assist features, such 18

Autumn 2000

O

R

Y

as scattering bars, serifs, and other sub-resolution structures. The fidelity of the mask-writing process for these features is not perfect. Structures that were supposed to be sharp will become rounded, and both the width and area of the assist features may be far from the design values. Phase-shift masks also require additional characterization, especially for multi-phase reticles. Small phase errors can result in unacceptable CD errors; larger phase errors will print as defects. Qualifying production reticles requires a combination of state-of-the-art reticle inspection tools and reticle CD SEMs to measure and verify the fidelity of sub-resolution optical extensions. Comprehensive reticle qualification solution

These requirements can be met with a complete reticle qualification solution that incorporates both hardware and software as shown in Figure 3. The 365UVHR inspection system

with the ALM300 algorithm provides 100% capture of localized CD errors as small as 45 nm10. CD and defect information from the reticle inspector can be linked to CD SEM measurements and images through the KLA-Tencor 9x server and X-LINK file transfer function13. Finally, both reticle and wafer SEM images can be superimposed over the intended design data for complete pattern qualification using the latest version of KLATencor’s Klarity ProDATA software. This combination of hardware and software helps to bridge the divide between mask shops and wafer fabs, and enables the user to inspect, measure, and characterize the total reticle CD performance. New hardware platforms such as the TeraScan™ inspection system will further improve CD qualification capabilities and keep pace with the increasing complexity and critical nature of leading edge reticles.

365UVHR ALM300 Reticle CD Error ≈ 400µm Regions of CD error on Reticle

8250-R Reticle CD map

80nm

60nm

40nm

20nm

X-LINK Module File Transfer Server Data Analysis

0 8250 CD SEM Review

Bridging on printed Wafer Figure 3. KLA-Tencor reticle qualification solution.

Yield Management Solutions


C

The systems approach to reticle qualification

Hardware and software are critical to developing reticle solutions, but tools alone are not enough. Meeting the evolving demands of reticle qualification requires a greater level of cooperation and mutual involvement by both mask shop and wafer fab engineers. Mask shops are judged by the number of revenueproducing reticles they ship, while fabs are judged by the number of good die they produce. Both constantly strive to maintain their costs at the lowest practical level. These pressures can often create conflicts between the need to control reticle costs and the need to achieve the highest reticle quality to improve wafer yield. The increasing technical demands of today’s leading-edge reticle processes do not allow the luxury of treating the mask shop and wafer fab as separate entities with different, sometimes competing, economic motivations. Mask and fab engineers will need to work closely to develop production-worthy optical extension processes, CD-error budgets, and reticle-qualification procedures. The interactions between fab-process parameters and reticleCD variations will even drive mask shops to tailor the mask-making process for specific end users and applications. As Bill Arnold, executive scientist of ASML, stated recently14, “The day of the reticle as a commodity has passed, and all elements of the lithography system need to be engineered to the highest performance levels for successful wafer image formation”. Hardware and software provide the tools, but engineers in both the mask shop and the wafer fab will need to use these tools together to successfully address the challenge of engineering the complete lithography system.

O

V

Acknowledgments

The themes presented in this paper represent the work of many different individuals and groups. The author wishes to acknowledge the many members of the KLA-Tencor lithography community for their efforts in developing the ideas and products described here. Thanks to Tony Vacca, Jim Wiley, Richard Elliott, Scott Ashknaz and Matt Hankinson for their help in preparing this paper. References 1. “Lithographic effects of mask CD error,” A. Wong, R. Ferguson, L. Liebmann, S. Mansfield, A, Molless, and M. Neisser, SPIE Proceedings. Vol. 3334, p. 106 (1998). 2. “The business dynamics of lithography at very low k1 factor,” S. Harrell and M. Preil, SPIE vol. 3679, p. 2 (1999). 3. “Sources of CD variation”, M. Hankinson, in Lithography Solutions for CD Control in the 0.13 micron Era, SEMI Technical Programs, Semicon West 2000. 4. The National Institute of Standards and Technology Advanced Technology Program (NIST ATP) is a government-industry collaboration to develop new technologies. An overview of the CD project can be found in “Intelligent control of the semiconductor patterning process: A NIST ATP Program,” M. Hankinson et. al., SEMATECH AEC/APC Symposium XI, Vail, CO, 1999. 5. “Analytic approach to understanding the impact of mask errors on optical lithography,” C. Mack, Proc. SPIE vol. 4000, p. 215 (2000). 6. “Characterization of linewidth variation,” A. Wong, A. Molless, T. Brunner, E. Coker, R. Fair, G. Mack and S. Mansfield, Proc. SPIE vol. 4000, p. 184 (2000). 7. “The MEF: causes and implications for process latitude,” J. van Schoot, J. Finders, K. van Ingen Schenau, M. Klaassen, C. Buijk, Proc. SPIE vol. 3679, p. 250 (1999). 8. “Data analysis for photolithography,” C. Mack, S. Jug, D. Legband, Proc. SPIE vol. 3677, p. 415, (1999). Autumn 2000

E

R

S

T

O

R

Y

9. “Inside PROLITH: A comprehensive guide to optical lithography simulation,” 2nd ed., C. A. Mack, FINLE Technologies Press (Austin, TX, 1997). 10.“Techniques to detect and analyze photomask CD uniformity,” A. Vacca, W. Ng, G. Anderson, B. Rockwell, A. Dong, D. Taylor, Proc. SPIE vol. 3873, p. 209 (1999). 11.“Good OPC: Where will this drive mask CD tolerance and mask grid size?,” D. Samuels, W. Maurer, T. Farrell, Proc. SPIE vol. 2621, p. 588 (1995). 12.“Effect of real masks on wafer patterning,” C. Spence, R. Subramanian, D. Teng, E. Gallardo, Proc. SPIE vol. 4000, p. 54, (2000). 13.“Reticle quality management for subwavelength lithography,” I. Peterson, A. Klaum, E. Hou, Micro Magazine, September 2000 issue, in press. 14.Bill Arnold, quoted in Photronics, Inc. press release, June 13, 2000 http://biz.yahoo.com/prnews/00 0613/fl_photron.html.

About the Author Moshe Preil is the director of strategic marketing in the Lithography Module Solutions Group at KLA-Tencor in San Jose. He is currently working on process and yield management solutions for lithography, developing software and methodologies to increase yield and enhance productivity in fab areas. He received his Ph.D. in physics from the University of Pennsylvania, working in the areas of electron and optical spectroscopies. For the past 15 years he has worked in various areas of optical lithography, doing advanced development as well as production. In his previous position at Advanced Micro Devices, he was involved in the development of quarter micron tools and processes, specializing in deep-UV technology. He has also been active in the SEMATECH lithography community, and helped to formulate the current 193 nm development program at SEMATECH. Dr. Preil has taught several courses on the subject of optical lithography and has published a number of papers in the field.

Yield Management Solutions

19


Turn static files into dynamic content formats.

Create a flipbook
Issuu converts static files into: digital portfolios, online yearbooks, online catalogs, digital photo albums and more. Sign up and create your flipbook.