Portable Design in 2009 low-power wireless: The Future of Ultra Wideband portable power: Future Trends in Power Management product focus: Configurable Processors featured product: Peregrine's DuNE PA Technology
CEO Interview: Dr. James Truchard National Instruments January 2009
www.portabledesign.com
An RTC Group Publication
contents
departments
editorial letter analyze this analysts’ pages industry news product feature products for designers annual editorial index
cover feature
5 6 8 14 36 37 44
Kazu Yamada, NEC Electronics America, Inc.
Next Year in Portable Design— 20 The EDA Perspective Walden C. Rhines, Mentor Graphics
FPGAs to the Rescue 22
Denny Steele, SiliconBlue
wireless communications Eric Broockman, Alereon
portable power
Future Trends in Power Management 28 Andy Dykstra, Texas Instruments Wendy Lockhart, Actel Corporation
The Future of Mobile Power 30 Rick Zarr, National Semiconductor
product focus Configurable Processors—Boon or Bane? 32
John Donovan
ceo interview
39 2850-3210 MHz VCO
Future Trends in Power Management 29
38 m ulticore solution supports memory partitioning
The Future of Ultra Wideband— 24 The Shakeout Begins
37 l ow-power M-series spread spectrum crystal oscillator
Collaboration Key to Future Semi Advances 18
PRODUCT FOCUS
Dr. James Truchard, National Instruments 42
41 T oshiba launches 16 Gbyte microSDHC
JANUARY 2009
3
U.S. Postal Service Statement of Ownership, Management and Circulation Required by 39 USC 3685.1) Title of Publication: Portable Design. 2) Publication Number 1086-1300. 3) Filing Date 10/01/2008. 4) Frequency of issue is monthly. 5) Number of issues published annually: 12(except 10/08 and 12/08). 6) Annual subscription price: n/a. 7) Complete Mailing Address of Known Offices of Publication: The RTC Group, 905 Calle Amanecer, Suite 250, San Clemente, CA 92673 Orange County. 8) Complete Mailing Address of Headquarters of General Office of Publisher: The RTC Group, 905 Calle Amanecer, Suite 250, San Clemente, CA 92673 Orange County, California. Publisher: John Reardon, The RTC Group, 905 Calle Amanecer, Suite 250, San Clemente, CA 92673 Orange County, CA 92673. Editor:John Donavan, 19412 Stage Line TrailPflugerville TX 7866 Hills County. Managing Editor: Marina Tringali. The RTC Group, 905 Calle Amanecer, Suite 250, San Clemente, CA 92673 Orange County, CA 92673. 10) Owners: James Lizzio, Jim Reardon, John Reardon, Zoltan Hunor. The RTC Group; 905 Calle Amanecer, Suite 250, San Clemente, CA 92673 Orange County. 11)Known Bondholders Holding 1 Percent or More of Total Amount of Bonds, Mortgages, or Other Securities: None. 12) Tax Status: The purpose, function, and for fednonprofit status of this organization and the exempt status eral income tax purposes have not changed during the preceding 12 months. 13) Publication Title: Portable Design. 14) Issue date for Circulation data: 08/2008 Portable Design. 15a) Extent and Nature of Circulation: average number of copies each issue during preceding 12 months (Net press run): 18,750. Number copies of single issue published nearest to filing date: 20,001 a)Total number of copies (net press run). b) 1. Paid/requested outside-county mail subscriptions stated on form 3541. (Include advertiser’s proof and exchange copies)/Average number copies each issue during preceding 12 months: 17,814, number copies of single issue published nearest to filing date: 18,521. b)2. Paid in-county subscriptions (include advertiser’s proof and exchange copies)/average number copies each issue during preceding 12 months/number copies of single issue published near est to filing date: n/a. b)3. Sales through dealers and carriers, street vendors, counter sales and other non-USPS paid distribution/average number copies each issue during preceding 12 months: n/a, number copies of single issue published nearest to filing date: n/a. b)4. Other classes mailed through the USPS/average number copies each issue during preceding 12 months: n/a, number copies of single issue published nearest to filing date: n/a. c) Total paid and/or requested circulation [sum of 15c. (1), (2), (3) average number copies each issue during preceding 12 months: 17,814, number copies of single issue published nearest to filing date: 18,521. d) Free distribution outside of the mail (carriers or other means)/ average number copies each issue during preceding 12 months: n/a number copies of single issue published nearest to filing date: n/a. f) Total free distribution (sum of 15d. and 15e.)/ average number copies each issue during preceding 12 months: 887, number copies of single issue published nearest to filing date: 1,454. e) Total distribution (sum of 15 c and15e)/ average number copies each issue during preceding 12 months: 18,701 number copies of single issue published nearest to filing date: 19,975. g) Copies not distributed/ average number copies each issue during preceding 12 months: 49, number copies of single issue published nearest to filing date: 26. h) Total (sum of 15g and h)/ average number copies each issue during preceding 12 months: 18,750, number copies of single issue published nearest to filing date: 20,001. i) Percent paid and/or requested circulation (15c divided by 15g times 100)/ average number copies each issue during preceding 12 months: 95.26, number copies of single issue published nearest to filing date: 92.72. 16. Publication of statement of ownership. Publication will be printed in January 2009 issue of this publication. 17) Signature and title of the editor, publisher, business manager or owner: Marina Tringali (Associate Publisher), Date: 10/01/2008. I certify that all information furnished on this form is true and complete. I understand that anyone who furnishes false or misleading information on this form or who omits material or information requested on the form may be subjected to criminal sanctions (including fines and imprisonment)and/or civil sanctions(including multiple damages and civil penalties).
4
PORTABLE DESIGN
team editorial team Editorial Director Editor-in-Chief Managing Editor Copy Editor
Warren Andrews, warrena@rtcgroup.com John Donovan, johnd@rtcgroup.com Marina Tringali, marinat@rtcgroup.com Rochelle Cohn
art and media team Creative Director Art Director Graphic Designer Director of Web Development Web Developer
Jason Van Dorn, jasonv@rtcgroup.com Kirsten T. Wyatt, kirstenw@rtcgroup.com Christopher Saucier, chriss@rtcgroup.com Marke Hallowell, markeh@rtcgroup.com James Wagner, jamesw@rtcgroup.com
management team Associate Publisher Product Marketing Manager Advertising Manager Advertising Manager Circulation
Marina Tringali, marinat@rtcgroup.com Aaron Foellmi, aaronf@rtcgroup.com Stacy Gandre, stacyg@rtcgroup.com Lauren Trudeau, laurent@rtcgroup.com Shannon McNichols, shannonm@rtcgroup.com
executive management Chief Executive Officer Vice President Vice President of Finance Director of Corporate Marketing Director of Art and Media
John Reardon, johnr@rtcgroup.com Cindy Hickson, cindyh@rtcgroup.com Cindy Muir, cindym@rtcgroup.com Aaron Foellmi, aaronf@rtcgroup.com Jason Van Dorn, jasonv@rtcgroup.com
portable design advisory council Ravi Ambatipudi, National Semiconductor Dave Heacock, Texas Instruments Kazuyoshi Yamada, NEC America
corporate office The RTC Group 905 Calle Amanecer, Suite 250 San Clemente, CA 92673 Phone 949.226.2000 Fax 949.226.2050 www.rtcgroup.com
BPA Worldwide believes that consumer media owners, advertisers and agencies should expect more from audits. That’s why we build on the foundation of our worldwide reputation for accuracy and integrity, adding even greater value with reporting transparency and depth, as well as auditing timeliness.
For reprints contact: Marina Tringali, marinat@rtcgroup.com. Published by the RTC Group. Copyright 2008, the RTC Group. Printed in the United States. All rights reserved. All related graphics are trademarks of the RTC Group. All other brand and product names are the property of their holders. Periodicals postage at San Clemente, CA 92673 and at additional mailing offices. Postmaster: send changes of address to: Portable Design, 905 Calle Amanecer, Suite 250, San Clemente, CA 92673. Portable Design(ISSN 1086-1300) is published monthly by RTC Group 905 Calle Amanecer, Suite 250, San Clemente, CA 92673. Telephone 949226-2000; 949-226-2050; Web Address www.rtcgroup.com. Ride along enclosed.
editorial letter
M
According to reports, 40 percent of U.S. mobile customers surf the Internet using their mobile phones. My guess is that 100% of them spend at least some of that time cursing under their breath. Mobile phones still have a long way to go before you can navigate the Internet with the same ease that you experience on your PC. Intel has capitalized on this user dissatisfaction to create the Mobile Internet Device (MID) category, which is designed to (1) deliver a “better Internet user experience” and (2) provide an end run around ARM’s hegemony in the mobile phone arena. On the other hand, mobile phones have come a long way since the largely text-based Wireless Application Protocol (WAP) browsers were introduced in 1997. The phones on which these early micro-browsers ran lacked the memory and processing power to render HTML properly, much less JavaScript. So these browsers ran subsets of HTML such as compact HTML and the Wireless Markup Language (WML). WAP browsers were restricted to sites that supported WML, since the phone was basically a thin client and the site a proxy server that would translate content into WML before delivering it to the client. The number of sites was limited by carriers who restricted access to only those sites that they had licensed. The result was a small walled garden of badly delivered content. Today, both the hardware and software required to deliver the full Mobile Web on cell phones is almost completely up to speed. The real breakthrough was Apple’s iPhone, which runs Mac OS X and the Safari browser and has a 620 MHz ARM processor, 128 Mbytes of RAM, and up to 16 Gbytes of flash memory. The iPhone is a highly capable Internet device—the first MID. Now that handset hardware is no longer a bottleneck, the real action is in the new mobile browsers. Chief among these are Opera Mobile, which runs on Windows; Internet Explorer Mobile, which also runs on Windows; Apple’s Safari (Mac OS X); the Blackberry Browser (Blackberry OS); Google’s Chrome Mobile (Linux); and the Nokia S60 Browser (Symbian OS). The real improvement over the old WAP browsers is in the rendering engines. Brows-
ers consist of an interface and a rendering engine that turn HTML, XML, JavaScript and other code into a format that can be viewed onscreen. The new rendering engines are so efficient that they free handsets from the old WAP client/server model, enabling them to effectively render any and all Web sites. The Mobile Opera browser is based on the Presto 2.1 rendering engine;
The Mobile Web
john donovan, editor-in-chief
it’s included in smart/phones from Nokia, Samsung, Motorola, HTC and Sony Ericsson. The Safari, Chrome and Nokia S60 browsers are all based on the WebKit rendering engine. The inability to run JavaScript held back mobile browsers in the past, but no longer. Google developed its V-8 JavaScript rendering engine for the first Android smart phone, the HTC G-1. The V-8 is a JavaScript compiler rather than an interpreter, enabling it to run several times faster than previous JavaScript engines. Other mobile browsers have also upgraded their Java capabilities. Mobile browsers have suffered from an inability to run plug-ins, most notably Adobe Flash, a shortcoming that Intel has taken pains to highlight. But this month Adobe and ARM announced that they are working together to port Flash to ARM processors; and Qualcomm has announced similar support for Flash in their handset chipsets. Given the small screen size and ergonomic shortcomings of handsets, you’ll still prefer to Web surf on your PC. It’s also unlikely that Web-enabled smart phones will keep the MID category from taking off, since many people will still prefer a larger screen size, as long as they can still make calls. But the Mobile Web for handsets—fully capable of handling rich Web 2.0 content—has finally arrived. JANUARY 2009
5
analyze this
D
Despite the worldwide economic downturn, which will reduce the number of mobile handsets produced in the next year or so, the proliferation of feature-laden 3G phones will provide slots for analog and mixed-signal devices. We anticipate opportunities for video and audio output devices, mobile TV, high-resolution camera modules, global positioning systems and Bluetooth transceivers—in addition to the
here’s something for you to think about...
Cell Phones here’s something for you to think about... Still Provide Title Opportunities for Specialized Analog and Mixed-Signal ICs Stephan Ohr, Research Director, Analog and Power, Gartner Technology and Service Provider Research
proliferation of specialized power management ICs. The popularity of the Apple iPhone and iPhone 3G, in addition, has spurred a raft of lookalike products featuring positional sensors and touch screen controllers. The effects of the worldwide recession on the semiconductor industry, to be sure, will be quite severe in terms of revenue contractions. Gartner had projected in mid-November that the semiconductor revenues would likely drop $40 billion in 2009 from a once-projected $308 billion to a more likely $268 billion. The losses could be greater as economic conditions continue to worsen. This reflects a drop off in consumer spending on PCs and cell phones—but 6
PORTABLE DESIGN
not a dead stop. Gartner projects PC shipments will grow 5% in 2009 (though not the 13.6% previously projected), driven by demand for mobile computers (rather than desktops). Cell phone unit growth will be 2.9% (rather than the 8.8% previously projected), though the latest projection from Nokia suggests their unit shipments in 2009 will be down from 2008. But even with a mere 2.9% unit growth, the total number of handsets shipped worldwide in 2009 will exceed 1.2 billion units. While some 300 million of these will be basic phones, intended for new users in developing regions of the world, some 500 million will be 3G phones with advanced feature sets. Of these, some 34% percent (188 million units) will likely be PDA/ smart phone combinations. These units will have a number of features designed to increase their appeal to consumers (if not their utility to business people). Newer feature phone applications include an increased number of digital camera functions (with both high and low resolutions), Bluetooth wireless connectivity, GPS, FM radio and MP3 music playback. Some phones will incorporate mobile TV receivers. This profusion of functions will cause revenues for application-specific analog ICs executing these new functions to rise at a 6.0% CAGR from $7 billion in 2007 to $9.5 billion in 2012. The average selling price for a 3G handset incorporating these functions (the cost to the service provider) will be roughly $200 in 2009, but will be closer to $155 in 2012. The semiconductor content, roughly $40 in 2009, will be about $32 in 2012.
The Amazing Disappearing Bluetooth
The shrinking dollar volume is a reflection of semiconductor integration which, in our industry, perpetually reduces the price-perfunction. Because of the enormous volumes associated with mobile handsets, OEMs (and their manufacturing surrogates) will continue to invest in custom integrated circuits (ASICs and ASSPs) in an effort to reduce chip counts and manufacturing costs. In Gartner’s content models for cell phones, certain functions will seem to disappear—not because they aren’t used, but rather because their features and functions are integrated with a larger system-
analyze this on-chip. Certain wireless functions associated with Bluetooth transceivers are one example: Already, FM radios are being integrated with Bluetooth transceivers. In the near future, the Bluetooth chip will likely include Wi-Fi and WiMAX transceivers. Despite this level of integration, we expect the price of the Bluetooth function—$2 not all that long ago—to approach 25 cents within the next few years. Other cell phone features will proliferate and drop in cost. Location-based services—like the ability to locate and map the nearest Starbucks—will be part of the feature set of new-generation smart phones. These depend on GPS receivers, which are another type of application-specific analog IC. The percentage of smart phones and PDA phones incorporating these devices is likely to be close to 50% in 2012. Gartner had initially projected that the locator service device in each cell phone—the mechanism that allows police and medical service vans to locate a 911 caller—would not likely be transformed into full navigation systems. One line of thought believed that maps and other navigation aids would be unreadable on a tiny cell phone LCD screen. But technology developments and consumer preferences are refuting this assumption. The pixel resolution of a 2-inch LCD screen is amazingly high. And the overwhelming popularity of the Apple iPhone, with its larger LCD viewing screen, would have been hard to predict in advance. An increasing number of PDA phones will incorporate the larger viewing area, which will be friendlier to GPS navigation maps. As with Bluetooth, we estimate that the cost of GPS chipsets in smart phones and PDA phones will drop steeply between now and 2012. There is a bit of controversy on the extent to which mobile TV tuners will be incorporated into cellular handsets. They can be extremely taxing to battery life, provoking a choice among users as to whether the handset will be used as a mobile TV or whether it will used as a telephone— but it will hardly support both. It is likely that the sale of TV-equipped cell phones will be smaller in the U.S. than in Europe, Japan and Asia. In the U.S., where cell phone users commute to work by car, they will seldom have the opportunity to view a TV program or video download on their
cell phone screens. In other parts of the world, where commuters use public transportation, cell phone video will be more common—but for the issue of power consumption. Commuters may find themselves watching a video on the train ride to work, and then immediately plugging their phones into a charger to replenish the battery as soon as they reach their offices. While the percentage of worldwide phones embodying mobile TV tuner chips is likely to be small—8 or 9% of enhanced phones in 2012, the penetration of FM radio tuners will be higher (26%). On a taxicab ride from Kowloon to Hong Kong Island recently, I watched a colleague withdraw a stick antenna from his mobile handset, and tune in a local TV station. The offbrand Chinese-made handset included a dual Sim-card, a 3-Mpixel camera, Bluetooth 2.0, a QVGA LCD display with touch screen control, MP3/MP4 playback and MicroSD slot, an FM receiver, analog TV receiver and built-in miniature speakers. My Gartner colleague said the street price of the phone was $107 and its billof-materials (BOM) was $39. Stephan Ohr is Director of Research for Analog Semiconductors (and power management devices) at Gartner Research in San Jose. He tracks standard linear ICs (amplifiers, data converters and voltage regulators) as well as market-specific analog parts (such as disk drive read channels, display drivers and MP3 audio codecs). Steve Ohr spent much of his career as an electronics trade journalist: He joined Gartner from EE Times, where he covered analog, and served as the editor of the Times’ “Planet Analog” magazine supplement. His credits include Electronic Design magazine, Computer Design and Asian Electronics Engineer. His engineering degree comes from the New Jersey Institute of Technology (the Newark College of Engineering), and his graduate degree (in sociology) comes from Rutgers. His handson engineering experience includes marketing assignments with Signetics (later Philips Semiconductors, and now NXP) and the General Electric Company. Gartner Dataquest Stamford, CT. (203) 964-0096. [www.gartner.com].
JANUARY 2009
7
analysts’ pages Economic Crisis Spurs MobileDevice Shipment Contraction in 2009
The once almost unstoppable growth in mobile-device shipments and revenues is coming to a screeching halt as wireless subscribers around the globe extend the life cycles of their existing devices in response to difficult economic conditions, according to iSuppli. In the third quarter of 2008, the mobiledevice market contracted slightly, ending with 316.7 million units, down 1.1 percent from 320.4 in the second quarter, according to iSuppli Corp. Mobile handsets, which account for the vast majority of this segment, will achieve shipments of 311 million units in the third quarter of 2008, down 0.3 percent from 312 million in the second quarter. A check in the channel shows that manufacturers are being conservative in their sourcing and component procurement activities, and
iSuppli’s Revised Worldwide Mobile Devices Unit Shipment
exploration Forecaster Compared to the Previous Forecast, 2008-2012 (Thousands of Units) er your goal eak directly page, the 1,800,000 resource. hnology, 1,600,000 and products
1,400,000 Thousands of Units
d
1,200,000 1,000,000
800,000 mpanies providing solutions now
oration into products, technologies and companies. Whether your goal is to research the latest datasheet from a company, 600,000 to a company's technical page, the goal of Get Connected is to put you in touch with the right resource. Whichever level of Get Connected will help you connect with the companies and products you are searching for.
400,000
nected
200,000 2007
2008
2009
Revised Forecast
End of Article Get Connected
with companies mentioned in this article. www.portabledesign.com/getconnected
8
PORTABLE DESIGN
2010
2011
2012
Original Forecast
they are making efforts to reduce inventory to maintain lean and efficient operations. iSuppli has lowered its forecast of global mobile-de-
vice shipment growth to 8.9 percent in 2008, down from 10.4 percent, which will end the year at 1.287 billion units. “The outlook for 2009 is even more gloomy than for 2008,” observed Tina Teng, senior analyst, wireless communications, for iSuppli. “With the United States, Europe and Japan entering recessions, economic uncertainty and waves of layoffs mean that consumers are likely to spend less on mobile products.” Shipments in 2009 are expected to decline by 5.6 percent to 1.215 billion units. With more than 3 billion subscribers worldwide, the growth of the mobile-device market has been driven by upgrade purchases of existing customers, Teng noted. “While new subscriber additions are continuing at a healthy pace and are poised to grow by 563.9 million in 2008 and by 506.5 million in 2009, an overwhelming majority of the new subscribers are coming from the rural areas of emerging regions,” Teng said. “These subscribers primarily are purchasers of low-cost, entry-level handsets. However, the pricier feature-phone and smart-phone market segments are driven by existing subscribers who are upgrading their mobile devices to take advantage of new features and advanced data services. As the economic climate deteriorates, these customers are delaying their purchases.” With the penetration of mobile handsets in emerging markets rising rapidly during the past few years, upgrades have grown to account for more than 50 percent of total mobile-device shipments in 2008. Because of this, mobiledevice shipment growth has become more sensitive to the upgrade cycle. The Replacements If the replacement cycle extends by 4.7 months, the mobile-device market contraction will commence. If only 16 percent of 2008 subscribers upgrade to a new device in 2009, it will translate into a replacement cycle extension of 19 months. Under these circumstances, the outcome will be that mobile-device shipment volume will be reduced to 1.3 billion units in 2008 and to 1.1 billion units in 2009, with a market contraction of 12 percent in 2009. However, at this time, iSuppli is not going that far, revising its 2009 mobile-device
Revenue Retreat Based on iSuppli’s preliminary estimate, on top of the Average Selling Price (ASP) erosion and higher mix of entry-level handsets in mobile devices, it is likely industry revenue will suffer a 10.7 percent decline in 2009. iSuppli believes that mobile-device shipments in 2009 will contract by 5.6 percent. By the end of 2010, the mobile-device market should show signs of a revival with a year-onyear growth rate of 3.1 percent. Some Good News Despite the economic meltdown and the rising unemployment rate, India will have added 9 million new wireless subscribers per month in 2008 and China’s subscriber base will have expanded at a rate of 7 million new subscribers annually. First-time buyers in the emerging market are still going strong and will represent 42 percent of the mobile-device market in 2009. iSuppli Corporation, El Segundo, CA. (310) 524-4000. [www.isuppli.com].
WiMAX, 3G and Number Portability to Drive Indian Wireless Market in 2009
Defying the global economic slowdown, India’s mobile-phone demand is expected to continue to rise at an accelerated rate in 2009 due to the rollout of 3G and WiMAX networks and the implementation of Mobile Number Portability (MNP), according to iSuppli Corp. India’s mobile-phone demand is expected to rise to 136 million units in 2009, up 23.9 percent from 110 million in 2008. This compares to 16.8 percent growth in 2008. Cellular subscribers in the nation will grow to 319.9 million by the end of 2008, up 36.9 percent from 233.6
million at the conclusion of 2007. iSuppli estimates India’s total wireless subscriber base will grow at a Compound Annual Growth Rate (CAGR) of 25.1 percent for the period 2007 to 2012 to reach 715 million by the end of 2012. “The continued expansion of India’s mobile-
be attributed to spectrum availability, more affordable price points and support from the government. Initially, the market for these technologies is expected to be driven by corporate and enterprise users and by tech-savvy young people.
India Mobile Handset Demand and Production Unit Forecast (Millions of Units) 250
Millions of U.S. Dollars
forecast—including both mobile handsets and external modems—to 1.2 billion units, down from 1.4 billion previously, with mobile handsets accounting for nearly all shipments in 2009. iSuppli estimates that in the mobile-device market, the replacement cycle will extend by 10.7 months in 2009 with a replacement rate of 18.1 percent.
200
150
100
50
0 2007
2008
2009
2010
2011
2012
handset market is partly due to the declining costs of calls, the availability of inexpensive handsets, increasing geographical coverage and operators’ rising portfolio of Value-Added Services (VAS),” said Abhimanyu Raina, associate analyst at iSuppli. “In 2009, the rollout of 3G and WiMAX networks and the implementation of MNP are expected to drive the growth of the wireless sector.”
MNP will be implemented by the end of 2008 in four metropolitan areas: the National Capital Region, Mumbai, Chennai and Kolkata. These rollouts will be followed by a launch in other areas by April 2009. As shown in nations such as Australia, Japan and Hong Kong, the implementation of MNP increases the churn rate, leading to rising competitiveness in the market. iSuppli expects similar results in India.
3G, WiMAX and MNP Drive Demand Indian telecommunications operators presently are focusing on 2G CDMA and TDMA (GSM) technologies to deliver lower-cost mobile services. However, the process of costreducing 2G-derived handsets seems to have reached its technical limits. iSuppli forecasts 3G and WiMAX will garner subscriber bases of 250 million and 19 million respectively by 2012. This growth can
India Announces 3G and WiMAX Policy India’s Ministry of Communication and Information Technology in August released its 3G and WiMAX policy, which covers both GSM and CDMA services. Key features of the policy include: • Foreign companies will be allowed to bid for 3G and WiMAX licenses in India. • Up to five licenses will be issued to operators over 60 MHz of spectrum in each JANUARY 2009
9
analysts’ pages coverage area for telecom companies. Five additional operators may be added in the future. • The 3G spectrum in the 2.1 GHz band will be available for auction, with spectrum allotment for 20 years. • WiMAX spectrum at the 2.3 GHz and 2.5 GHz bands will be available for auction, with spectrum allotment for 15 years. • Spectrum is set to be auctioned in blocks of 2x5 MHz at the 2.1 GHz band and 20 MHz at the 2.3 GHZ and 2.5 GHz bands. • Operators are exempted from paying an annual fee during the first year of operation. • Operators will pay an annual spectrum charge of 1 percent of adjusted gross revenue after one year.
China’s Fabless Integrated Circuit Industry Revenue Forecast, 2008 - 2012 (Millions of U.S. Dollars) 8.0
Millions of U.S. Dollars
exploration 7.0 r your goal eak directly page, the 6.0 resource. hnology, nd products 5.0
4.0
iSuppli Corporation, El Segundo, CA. (310) 524-4000. [www.isuppli.com].
3.0 2.0
Chinese Chip Firms Buoyed by Domestic Handset Market
mpanies providing solutions now 1.0 oration into products, technologies and companies. Whether your goal is to research the latest datasheet from a company,
o a company's technical page, the goal of Get Connected is to put you in touch with the right resource. Whichever level of Get Connected 0.0 will help you connect with the companies and products you are searching for.
nected
2007
2008
2009
End of Article Get Connected
with companies mentioned in this article. www.portabledesign.com/getconnected
10
As a result of the announcement of the above policy, the Indian telecom sector has seen a host of activities pertaining to 3G and WiMAX technologies. These include: • State-owned telecom operators Bharat Sanchar Nigam Ltd. (BSNL) and Mahanagar Telephone Nigam Ltd. (MTNL) each received single blocks in each 2.1 GHz (3G) and 2.5 GHz (WiMAX) spectrum. These firms are expected to roll out their 3G and WiMAX services by the end of 2008. This has given them a head start compared to other private telecom players. • Apple Inc. in August launched its iPhone 3G in collaboration with Airtel and Vodafone. • Tata Communications, previously named Videsh Sanchar Nigam Ltd. (VSNL), launched WiMAX in Bangalore. The company plans to invest $500 million to roll out the service in Chennai, Delhi and Hyderabad. • Sloka Telecom, a global player in wireless broadband solutions, has initiated aggressive brand building activities in India. The company is in the advanced stage of sample product testing and negotiations with some telecom OEMs and service providers for offering WiMAX solutions.
PORTABLE DESIGN
2010
2011
2012
• Companies holding a Universal Access Service License (UASL), and/or fulfilling the eligibility criteria of UASL and possessing previous experience of running 3G services, can bid for spectrum. • Rollout obligations include 90 percent of metros’ areas within five years from the date of spectrum allocation.
After years of double-digit annual growth, China’s semiconductor sales revenue in 2008 is expected to rise by only 6.7 percent to reach $81.7 billion, up from $76.6 billion in 2007, iSuppli Corp. predicts. However, China’s fabless Integrated Circuit (IC) industry is expected to perform better, expanding by 12.3 percent to reach $3.5 billion in 2008, up from $3.1 billion in 2007. “This growth in fabless IC revenue is being driven by domestic sales of wireless and consumer electronics products, rather than by exports,” said Vincent Gu, China research analyst at iSuppli. “Moreover, the Summer Olympic events in Beijing and other Chinese cities
this year encouraged the release of new mobile handsets supporting the 3G, Digital Terrestrial Multimedia Broadcast (DTMB) and China Mobile Multimedia Broadcasting (CMMB) standards, boosting sales of associated ICs.” China’s domestic market situation improved in 2008 despite regulatory restrictions and an incomplete supply chain. Popular applications supporting new domestic standards will appear in 2009 as their industrial ecosystems mature. Although there is substantial economic uncertainty, continued revenue growth is anticipated in 2009.
remainder struggling to survive. Some companies are losing money and have no mature products available to deliver the revenues needed to continue doing business. Most companies have announced layoffs, cut production lines or have shut down entirely.” On the other hand, there are expected to be several Chinese fabless firms that will be seeking IPOs on the NASDAQ and domestic stock exchanges during 2009. iSuppli expects that at least five companies will seek IPOs and at least 10 companies will be involved in mergers next year.
Heavy Competition Today, more than 550 fabless companies compete in China. Most of these firms are young and small. More than 88 percent will generate less than $10 million in revenue during 2008 and are struggling to continue their growth. There are four major success factors in China’s IC fabless industry: market, manpower, money and timing. U.S. fabless IC companies have advantages in the areas of technology and innovation. This frequently makes them winners in emerging markets. In contrast, Taiwanese companies have effective cost controls and are highly integrated, helping them to succeed in mature markets.
iSuppli Corporation, El Segundo, CA. (310) 524-4000. [www.isuppli.com].
New Generation of GPS Smartphones Generating Renewed Momentum for the LBS Infrastructure Industry
The recent launches of GPS-enabled smartphones with touch screen interfaces such as Apple’s iPhone, the BlackBerry Storm, the T-Mobile G1, Nokia’s 5800 XpressMusic and Sony Ericsson’s Xperia X1 are fueling interest in handset-based navigation and location-based services (LBS) despite the worsening economic climate, according to ABI Research. In turn,
this drives both third-party LBS application development and the rollout of LBS infrastructure by carriers to support the much needed Secure User Plane Location (SUPL)-compliant Assisted GPS functionality. “Handset technology finally offers the LBS user experience consumers have been waiting for,” says ABI Research director Dominique Bonte. “Large touch screen displays are the most natural interface for engaging with mapbased LBS applications, as demonstrated by the success of Personal Navigation Devices (PNDs). While up to now most of the LBS infrastructure market in the U.S. has been driven by E911 requirements, the rollout of commercial LBS offers new opportunities for cellular location technologies such as Enhanced Cell-ofOrigin and Uplink-Time Difference of Arrival (U-TDOA) either as assistance or as a fallback option for GPS. These solutions are offered by vendors like Ericsson, TCS, NSN, Andrews, TruePosition, Redknee, Openwave, Polaris Wireless and Autodesk in the form of Mobile Location Centers (MLCs), Position Determining Equipment (PDE) and Location Enabling Servers (LES). However, several handset manufacturers such as Nokia are providing carrier-independent remotely hosted A-GPS directly to the
Stock Market Launch Delayed The launch of an alternative stock market in Shenzhen, called the Chinese Growth Enterprise Market (GEM), had been expected to prompt a flood of fabless IC Initial Public Offerings (IPOs) in 2008. However, the GEM was not introduced this year because of the current global financial crisis. Moreover, venture capitalists generally lack interest in China’s IC industry. A majority of semiconductor firms are short of capital and face cash flow problems. “iSuppli expects more than 100 Chinese IC companies to disappear within the next two years,” Gu warned. “Many companies presently are seeking buyers and a total of four companies already have been acquired by foreign semiconductor firms during the past 12 months. China’s fabless IC industry is polarized with about 50 companies achieving success and the JANUARY 2009
11
analysts’ pages end user. At the same time GPS is increasingly being complemented by alternative positioning technologies such as Cell-ID and Wi-Fi to increase in-door coverage, providing service providers with ever-greater flexibility to roll out commercial LBS applications.
ment in content access and playback both in browsers and Web-based applications across various devices will help to continue this market’s future growth.” ABI Research, Oyster Bay, NY. (516) 624-2500. [www.abiresearch.com].
ABI Research, Oyster Bay, NY. (516) 624-2500. [www.abiresearch.com].
As Mobile Web Usage Explodes, Full-Featured Browsers on Smartphones See Strongest Growth
One of the undisputed bright spots in 2008 has been the continued rapid growth of Web usage on mobile devices. While growth of Web usage is up across all mobile device classes, one of the biggest areas of growth has been smartphones. ABI Research sees this growth continuing over the next five years, with highly capable Internet browsers on smartphones expanding from 130 million in 2008 to 530 milexploration lion by 2013. er your goal eak directly “From the strong growth in ad-calls for ad page, the networks such as Admob to the astounding inresource. hnology, creases in page views for Opera Mini, it’s very and products clear that consumers have embraced the use of the Web on mobile devices,” says research d director Michael Wolf. “The increase in awareness of the Web on mobile devices—due to the iPhone and new RIM models—has helped contribute to this growth, as has the continued move toward flat-rate data plans by many mompanies providing solutions now bile operators.” oration into products, technologies and companies. Whether your goal is to research the latest datasheet from a company, In the addition to more capable to a company's technical page, the goal of Get Connected is to put you in touch with right resource. Whichever level of devices, conGet Connected will help you connect with the companies and products you aresumers’ searching desire for. to access popular Web applicanected tions such as Web search, social networking and online/mobile video is giving rapid rise to both pre-installation and aftermarket downloads of capable mobile browsers. However, much more work needs to be done to create a seamless experience for mobile users trying to access the broader Internet for rich media applications. “Three-screen playback is motivating plug-in vendors such as Adobe, Microsoft and Google to put significant development into the mobile Get Connected device side to enable a consistent experience with companies mentioned in this article. www.portabledesign.com/getconnected across all three screens,” adds Wolf. “Invest-
End of Article
12
PORTABLE DESIGN
Economic Crisis Likely to Impact High-Flying Cell Phone Industry
While the cell phone industry has generally been unaffected by economic ups and downs, this recession may well be very different, reports In-Stat. The current economic slowdown is more widespread and deeper than ever experienced during the history of the cell phone, and has spread through Europe, Asia and North America, the high-tech market research firm says. The industry is currently strong, and this year is turning out to be a relatively good one, but the cell phone industry will likely have some bumps and turbulence over the next couple of years. “The economic crisis is still playing out, but all indications are that it will have an effect on the cell phone business worldwide, but mostly on North America and Europe,” says Allen Nogee, In-Stat analyst. “In-Stat believes that it will take until 2010 before cell phone sales return to their normal growth levels.” Recent research by In-Stat found the following: • For the next five years, cell phone semiconductor revenue will only grow at a 3.3% Compound Annual Growth Rate (CAGR). • Over 1.2 billon cell phones will be shipped this year, but the growth rate is rapidly slowing. • The cell phone industry will be tested like never before in the next year as it deals with the impact of a poor economy and a lack of new features to promote. In-Stat, Scottsdale, AZ. (480) 483-4440. [www.in-stat.com].
Digital Signal Controllers Analog Serial EEPROMs
The Microchip name and logo, the Microchip logo and PIC are registered trademarks of Microchip Technology Incorporated in the USA and in other countries. Š 2008, Microchip Technology Incorporated. All rights reserved.
Microcontrollers
USB Connectivity for Embedded Designs
If you need Full-Speed USB 2.0 device, embedded host, dual role and On-The-Go solutions, Microchip Technology has them available today. We offer 8-, 16- and 32-bit MCUs with USB connectivity, providing easy migration with a single development environment. This maximizes pin compatibility and seamless code migration from 20 to 100 pins, enabling you to scale your USB design with ease.
USB Starter Kits accelerate development of USB designs using 8-, 16- or 32-bit MCUs starting at only $59.98
Download FREE USB software including source code: t t t t
Host Stack OTG Stack Device Stack Class Drivers (HID, Mass Storage and CDC Drivers)
t Thumb Drive Support (Mass Storage Driver, SCSI Interface, 16-bit and 32-bit File Management, Application Software)
Core
Flash Program Memory
Pins
USB Type
8-bit
Up to 128 Kbytes
20 - 80
Device
16-bit
Up to 256 Kbytes
64 - 100
Device, Embedded Host, Dual Role, OTG
32-bit
Up to 512 Kbytes
64 - 100
Device, Embedded Host, Dual Role, OTG
www.microchip.com/usb
news Flash Player 10 and Adobe AIR for ARM-Powered Devices
One of Intel’s main lines of argument against mobile handsets—where ARM and its licensees have a lock on processor sockets—is that handsets don’t support Adobe Flash, which is ubiquitous in Web sites, which in turn guarantees poor performance for cell phone Web surf-
for the ARMv6 and ARMv7 architectures used in the ARM11 family and the Cortex-A series of processors, and is expected to be available in the second half of 2009. The partnership stems from the Open Screen Project, a broad Adobe-sponsored initiative of industry leaders—including ARM—to deliver a consistent runtime environment across multiple devices by taking advantage of Adobe Flash Player and, in the future, Adobe AIR. The initiative is set to address the challenges of Web browsing on a broad range of screens, and remove the barriers to publish content and applications seamlessly across screens. For more information, visit www.openscreenproject.org. Adobe Flash Player 10 for ARMv6 and ARMv7 architecture-based hardware is expected to be available royalty-free to partners participating in the Open Screen Project. Flash Player 10 for ARM processor-based devices will be made available to OEMs by Adobe. ARM Inc., Sunnyvale, CA. (408) 734-5600. [www.arm.com].
exploration er your goal eak directly page, the resource. hnology, and products
Adobe Systems, Inc., San Jose, CA. (408) 536-6000. [www.adobe.com].
ing. Therefore, you should buy a mobile Internet device (MID) based on an Intel architecture with PC DNA so you can get this performance. That argument was just taken off the table. d At the recent MAX 2008 show, Adobe Systems Incorporated and ARM announced a technology collaboration to optimize and enable Adobe Flash Player 10 and Adobe AIR for ARM Powered devices, ranging from mobile mpanies providing solutions now phones to set-top boxes, mobile Internet devicoration into products, technologies and companies. Whether your goal is to research the latest datasheet from a company, televisions, automotive platforms, personal to a company's technical page, the goal of Get Connected is to put you in touches, with the right resource. Whichever level of Get Connected will help you connect with the companies and products you aremedia searching for. players and other mobile computing denected vices. The collaboration is expected to accelerate mobile graphics and video capabilities on ARM platforms to bring rich Internet applications and Web services to mobile devices and consumer electronics worldwide. The agreement will enable ARM and Adobe to deliver an optimized Adobe Flash Player 10 for the ARM architecture as well as industry standard API support for GPUs and hardware accelerators. The collaboration is also expected to lower power consumption for mobile deGet Connected vices running Flash Player 10 and AIR content. with companies mentioned in this article. www.portabledesign.com/getconnected The joint technology optimization is targeted
End of Article
14
PORTABLE DESIGN
SDR Forum Creates Group to Address White Space Communications Test Guidelines and Requirements
The SDR Forum, a non-profit international industry association dedicated to promoting the success of next-generation radio technology, has announced that they have created a new group to address test guidelines and requirements for secondary spectrum access of unused TV spectrum, also known as white space. The group, “Test Guidelines and Requirements for Secondary Spectrum Access of Unused TV Spectrum,” will develop a set of use cases and test requirements for the use of cognitive radio techniques to allow unlicensed secondary spectrum access for unused TV bands. Often in the news since the Federal Communications Commission (FCC) unanimous approval of the use of vacant portions of the broadcast spectrum by unlicensed devices on November 4, 2008, the topic of white space has been a source of debate, considering it has
The Road to WiMAX
implications for many types of wireless broadband innovative technology, including software defined and cognitive radio. The initial focus of the new SDR Forum Secondary Spectrum Access Testing group will be on mobile technologies (“personal portable devices”), however, the group may also explore new business models in creating the use case scenarios. The anticipated results of the group’s efforts will be a set of uniform testing guidelines for each of the use cases. Potentially, the output will be used for certification testing and to help formulate recommendations to the FCC, ITU and other regulatory bodies promoting the use of Cognitive Radio Technology for secondary spectrum access. “Testing of cognitive radio is the crucial step in making the transition from research to full commercialization, which is why I decided to support Pat’s idea of creating this group,” says group co-chair Joe Mitola of the Stevens Institute of Technology (http://www.stevens.edu/ sit/). Dr. Mitola was one of the initial developers of software defined and cognitive radio technologies and was the first to coin the terms in 1991 and 1999, respectively. “The foundation that the SDR Forum is laying here also will enable military and public safety radios to be secondary users, opening up substantial new spectrum resources to DoD and DHS for spectrum surge in national security situations. William Webb of Ofcom, the UK spectrum regulatory body, is working with us, so this is very important for commercial, military and homeland defense spectrum policy here in the New York City area and around the world.” The next step for the group will be to coordinate with the SDR Forum Cognitive Radio Work Group and other standards bodies to produce standard test procedures. SDR Forum, Denver, CO. (303) 628-5461. [www.sdrforum.org].
Last year Sprint and Clearwire undertook a nationwide WiMAX infrastructure buildout; for a variety of business and technical reasons—like waiting and waiting for the Mobile WiMAX standard to be ratified—it never happened. Then in May of this year Sprint XOHM— Sprint Nextel’s 4G WiMAX initiative—and Clearwire announced that they are merging their spectrum and network assets to create a new Clearwire, which would be 51% owned by Sprint. They sought and obtained additional $3.2 billion in funding from Google, Time Warner Cable, Intel Capital, Comcast and Bright House Networks. Now Clearwire has announced it has turned around and bought out Sprint XOHM, giving it control of at least $3.2 billion to advance its plans. Clearwire CEO Ben Wolff made it clear in a conference call that Clearwire is now an independent entity and will market its services under the “Clear” brand. According to the company, Clearwire’s open all-IP network utilizes mobile WiMAX
technology, providing customers with average download speeds initially of 2-4 megabits per second and peak rates that are considerably faster. However, the company noted that its spectrum holdings are what provide Clearwire with real differentiation in that they enable the company to provide true, mobile broadband services. At the closing, Sprint contributed its entire 2.5 GHz spectrum holdings to Clearwire. With this combined spectrum portfolio, Clearwire now has 100 MHz or more of optimal 4G spectrum in most markets across the U.S. With regard to WiMAX Clearwire is hedging its bets. In the same conference call, Wolff indicated that despite its commitment to WiMAX, the company will “future-proof” its network in case it needs to add LTE, which is
heavily favored in Europe or 4G deployments. Both technologies use orthogonal frequencydivision multiple access (OFDMA) modulation and MIMO antenna technology, so modifying a WiMAX network to also accommodate LTE shouldn’t be too technically challenging (read: prohibitively expensive). --John Donovan, Editor-in-Chief Clearwire Corporation, Las Vegas, NV. (888) 253-2794. [www.clearwire.com].
Ultra-Low-Power Wireless Chip for Hearing Systems
NXP has announced a single-chip ultra-lowpower radio for use in hearing systems developed in collaboration with Phonak. The new ultra-low-power radio is currently being integrated into Phonak’s portfolio of CORE-based hearing systems and wireless accessories. “Medical electronics is one of the fastest growing areas of semiconductor development and is becoming increasingly important for NXP,” said Rene Penning de Vries, senior vice president and chief technology officer of NXP Semiconductors in a statement. “Our ultra-lowpower solutions, based on magnetic induction radio technology and CoolFlux DSP, were the cornerstones for this breakthrough project.” “This cooperation between Phonak & NXP illustrates how two companies can leverage know-how and individual competencies to cre-
ate a winning solution,” said Antoine Delaruelle, senior director and manager of ultra-lowpower solutions, NXP Semiconductors. “Our chip does support a high data rate of up to 298 Kbits/s and bi-directional communication, enabling novel applications such as stereo audio streaming and binaural processing. Within this particular project, miniaturization was a key requirement, and we worked closely with PhoJANUARY 2009
15
news nak’s engineers to develop a highly integrated solution, utilizing embedded non-volatile memory and supporting direct operation from a single battery.” NXP Semiconductors is currently expanding its portfolio of ultra-low-power IC solutions and working with customers in the high demand areas such as Healthcare to help create unique devices for the market. NXP Semiconductors, San Jose, CA. (408) 474-8142. [www.nxp.com].
OSCI Debuts Standard for SystemC Analog Mixed-Signal Extensions
The Open SystemC Initiative (OSCI), an independent non-profit organization dedicated to supporting and advancing SystemC as an industry-standard language for electronic system-level (ESL) design, has announced the release of the first draft standard of the SystemC Analog/Mixed-Signal (AMS) extensions here exploration during the IP-Based System Design Conferer your goal eak directly ence (IP 08). The AMS draft 1 standard propage, the poses the first definitions for the design and resource. hnology, modeling of embedded analog/mixed-signal and products systems at higher levels of abstraction, such as those found in telecommunication, automotive d and imaging sensor applications. The AMS draft 1 standard is available for download under open-source license at www. systemc.org. The kit includes the AMS draft language reference manual (LRM), the requirempanies providing solutions now ments specification, a whitepaper introducing oration into products, technologies and companies. Whether your goal is to research the latest datasheet from a company, AMS language constructs, to a company's technical page, the goal of Get Connected is to put you in touchthe with the right resource. Whichever leveland of a first examGet Connected will help you connect with the companies and products you areple searching for. the basic capabilities of the AMS showing nected extensions. Public review period of the AMS draft 1 standard is open through March 31, 2009. The worldwide SystemC community of users, architects, ESL tool developers and AMS IP providers are encouraged to participate and provide feedback using the AMS discussion forum at www.systemc.org/Discussion_Forums/ ams_forum/. Designed for use with IEEE Std. 16662005, “Standard SystemC Language ReferGet Connected ence Manual,” the AMS draft 1 standard prowith companies mentioned in this article. www.portabledesign.com/getconnected poses new class libraries layered on top of the
End of Article
16
PORTABLE DESIGN
SystemC standard with focused AMS systemlevel modeling and simulation capabilities. These dedicated libraries provide features that can be applied in combination with digitally oriented ESL design methods. The AMS draft language reference manual details these new language constructs by proposing predefined modules, interfaces, channels and ports, and introducing new execution semantics for efficient simulation of discrete- and continuoustime behavior. The AMS standard is under development by the OSCI AMS Working Group formed in 2006 with the objective to define a rich set of modeling styles for the design and verification of AMS systems. Different modeling concepts based on data-flow and signal-flow models of computation are proposed, including linear electrical networks to efficiently simulate analog descriptions. The AMS class libraries facilitate system-level design at different levels of abstraction for use cases such as functional modeling, architecture exploration, integration validation and virtual prototyping. The AMS Working group will utilize feedback taken from the public review period to produce updates of the AMS standard. OSCI currently plans to present the results of the initial public review in Q2 2009. Open SystemC Initiative (OSCI), San Jose, CA. (408) 266-9753. [www.systemc.org].
Qualcomm Brew Mobile Platform SDK Supports Flash
Qualcomm Incorporated has released the software development kit (SDK) for the company’s new Brew Mobile Platform (Brew MP). Brew MP is a mobile operating system platform that supports handsets and mobile devices across virtually all market tiers and across all 3G technologies. The Brew MP SDK is an open and flexible development environment that enables developers and designers to easily create innovative new applications, widgets and custom user interfaces for mass-market handsets and mobile devices. In addition to greatly enhanced support for native application development, the SDK provides a full suite of tools and features in-
cluding highly integrated Adobe Flash technology. This integration allows developers using Adobe’s industry-leading content and Web creation tools to seamlessly author applications for Brew MP devices. The Brew Mobile Platform SDK is open to developers worldwide and is made available at no incremental cost. “The Brew Mobile Platform SDK bridges the gap between the mobile application and Web development communities,” said Steve Sprigg, senior vice president of engineering for Qualcomm CDMA Technologies in a statement. “With the Brew MP SDK, Flash developers can use familiar and powerful Adobe tools to publish compelling mobile applications quickly and easily for mass-market handsets used by mobile consumers worldwide.” Brew MP also delivers high-end features across all tiers of devices. In addition to its integral support for Adobe Flash, the platform offers advanced capabilities such as touch screen, rich multimedia, window management, open platform extensibility and expanded support for native application development. The Brew Mobile Platform SDK is available now to developers at http://www.brewmobileplatform. com. Qualcomm Incorporated, San Diego, CA. (858) 587-1121. [www.qualcomm.com].
SIA Forecast: Semiconductor Sales Slowing
The Semiconductor Industry Association (SIA) has released its annual forecast of global semiconductor sales, projecting the first decline in sales since 2001.
The forecast projects 2008 sales of $261.2 billion, a 2.2 percent increase from 2007 sales of $255.6 billion. Sales in the current quarter, historically a strong quarter for the microelectronics industry, are forecasted to decline by 5.9 percent from the prior quarter. SIA projects that 2009 sales will decline by 5.6 percent to $246.7 billion before resuming growth in 2010. Sales will grow by 7.4 percent in 2010 to $264.9 billion and by 7.5 percent in 2011 to $284.7 billion. “The current global economic turmoil is clearly having a significant impact on semiconductor sales,” said SIA President George Scalise. “The fortunes of the semiconductor industry are increasingly tied to consumer spending on electronic products. Consumer purchases now drive well over half of worldwide semiconductor sales.”
confidence. “The September sales figures provided the first sign of a slowdown in semiconductor sales,” Scalise continued. “Indications are that both consumer and corporate spending on technology will decline in 2009. Visibility is very limited, and much will depend on how quickly public policy makers can act to restore consumer confidence.” The semiconductor industry has enjoyed six years of uninterrupted growth since the dot-com collapse in 2001. “There are few similarities between 2001 and the current conditions,” Scalise continued. “The collapse of semiconductor sales in 2001 was driven primarily by the implosion of dot-com industries, which resulted in an enormous inventory overhang. Excess inventory is not an issue today, and the industry is well positioned to resume growth quickly once the current
Industry Revenue Growth: SIA Forecast 350
Billions/$
% Change
300 250 200 150 100 50 0
2003
2004
S/C Sales
2005
2006
2007
2008
2009
2010
2011
30 25 20 15 10 5 0 -5 -10
S/C Change
SIA cited a recent Deutsche Bank report that estimates personal computer unit sales will decline by 5 percent and cell phone unit sales will decline by 6.4 percent in 2009, with declining sales across all geographic regions. PCs and cell phones together account for approximately 60 percent of worldwide semiconductor consumption. Any significant decline in these two important market segments will have a negative impact on semiconductor sales.” SIA noted that semiconductor sales remained strong through the first three quarters of 2008 despite growing evidence of a global economic slowdown and declining consumer
worldwide economic uncertainty subsides,” Scalise concluded. Regional Market Forecast The forecast projects growth in all regional markets through 2011. The Asia-Pacific region will continue to be the fastest-growing region, growing from 50.7 percent of global chip sales in 2008 to 52.9 percent in 2011. Semiconductor Industry Association (SIA), San Jose, CA. (408) 436-6600. [www.sia-online.org].
JANUARY 2009
17
cover feature portable design in 2009
Collaboration Key to Future Semi Advances by K azu Yamada, Vice President, Custom SOC Solutions Strategic Business Unit, NEC Electronics America, Inc.
I
If there’s any lesson to be learned from the recent evolution of the consumer electronics industry, it’s to never underestimate the ability of portable system designers to find new and innovative ways to increase functionality and reduce system footprints. Today’s tiny MP3 players, 3G phones and personal navigation devices (PNDs) offer ample evidence of their skill. But the skyrocketing costs of leading-edge semiconductor process technologies pose a formidable challenge to their future success. Moore’s Law continues to offer portable system designers the shortest path to better performance, lower power consumption and smaller product footprints. As silicon manufacturers march down the process roadmap toward 32nm, however, the costs of moving to the next process node are spiraling out of control. Clearly, no individual semiconductor manufacturer can afford to foot the bill.
New Model Needed
What the industry needs is a new approach to semiconductor research and development that helps mitigate these escalating costs without compromising an IC designer’s ability to build complex designs in a short development cycle. As it becomes increasingly difficult for semiconductor companies to differentiate their 18
PORTABLE DESIGN
products on the core CMOS process technology, they need a business model that will allow them to share these high development costs across a common process platform with other semiconductor manufacturers and still offer opportunities to add unique value. Perhaps the best example of this new strategy is the Common Platform Alliance. Founded initially by Chartered Semiconductor, IBM and Samsung, the alliance has grown to eight members with the addition of NEC Electronics, Toshiba, ST Microelectronics, Infineon, Freescale and AMD. The premise behind the alliance is that while the CMOS process is still the foundation for technological advances, it is no longer a differentiating factor in itself. By sharing R&D expenses, members of the alliance can now split the prohibitively high costs of developing new process technologies at each node. As a result, the development of a common CMOS platform gives alliance members access to leading-edge technology at a fraction of the cost and in a much shorter development cycle. For example, the Common Platform Alliance announced on 4/14/08 that it had demonstrated significant performance and power consumption advantages with its 32-nm process technology using a high-k metal gate process that
will deliver up to 35 percent better performance and up to 45 percent lower power consumption than 45nm technologies at the same operating voltage. While that base CMOS process will no longer differentiate one semiconductor vendor from another, it can now serve as a platform for each alliance member to add its own unique functionality. By using their extensive expertise in areas such as embedded DRAM, nonvolatile memory or various low-power technologies, individual semiconductor manufacturers can more quickly bring to market highly differentiated ASICs or SoCs. Consumer demand for smaller, higher-performance and more power-efficient portable products isn’t going away. But if the electronics industry wants to meet that need, semiconductor manufacturers will have to pursue new, more collaborative approaches to building high-performance ICs. NEC Electronics America Santa Clara, CA. (408) 588-6000. [www.am.necel.com].
cover feature portable design in 2009
Next Year in Portable Design—The EDA Perspective by W alden C. Rhines, Chairman and CEO, Mentor Graphics
P
Portable design will continue to be a major driver of new EDA solutions in 2009, with an emphasis on increasing designer productivity, speeding time-to-market, and most importantly, reducing power consumption. We’ll see improvements in four key areas:
System-Level Power Design
Next year there will be greater adoption of power-aware system-level design platforms so that architectures can be tuned in the context of the overall target application. This dramatically expands the range of approaches that can be explored to minimize power with minimal impact to the design schedule in areas such as: • C omparing data representation and processing choices, such as trading off hardware-intensive compression/decompression versus data transmission volume • Assessing the impact of sleep, power-down and various other modes on power, performance and user experience • Maximizing cache, memory management, bus structure and bus arbitration schemes to eliminate bottlenecks and wasted power caused by contention and transfer delays High-level synthesis tools allow designers to describe algorithms in untimed ANSI C++, without resorting to hand-coded RTL. Now designers can optimize individual blocks for performance and power by scaling clock frequencies and using closed-loop power analysis for early power estimation. 20
PORTABLE DESIGN
Power-Aware Functional Verification
Functional verification of low-power designs requires a power-aware simulator that understands design intent as captured in Unified Power Format (UPF), and works with a high-level language to express behavior and logical assertions that define system constraints. Using this information, the designer can model the logical integrity of the design, detecting data corruption that could result from power cycling. More designers will rely on these tools to insert power-related functionality such as switches, isolation buffers, level shifters and retention registers to prevent power-down errors. Assertion debugging will gain wider adoption for a higher level of design confidence as will coverage-driven verification with automatic generation of coverage metrics and data. Finally, advanced modeling and verification ensure accurate translation to RTL by automatically generating verified RTL from C++ descriptions, including clock gating and interfaces to system functions for dynamic clock and voltage scaling management.
Power-Optimizing Implementation
At the IC implementation level, designers will come to depend on power-aware placeand-route tools. These advanced tools insert clock and power gating circuitry and multiple voltage supply rails, correctly place and route blocks across multiple voltage domains, and route around voltage islands appropriately, inserting level shifters as needed. The layout of the clock tree structure can have a big impact on dynamic power—as much
as 30% of total power consumption. Low-power SoCs can have dozens of clock trees operating at different rates, times and modes that typically have power-hungry clock buffers to compensate for unequal path lengths. To minimize clock buffers, designers will turn to place-and-route tools offering multi-corner, multi-mode optimization that can concurrently analyze timing, power and signal integrity across all design modes and corners as the routing is being done.
Software Development and PowerAware Software
To streamline software development, portable designers now have a comprehensive choice of pre-built and optimized components including real-time operating system kernels, bus management, file system, database, security/ encryption, USB, networking and graphics services. Advanced system design environments will support concurrent hardware and software development with simulators for hardware components such as Ethernet, Bluetooth, GSM/ GPRS, LCD, touch screen and keyboard. To ease power management chores, tools will increasingly be pre-integrated with popular IP such as ARM’s Intelligent Energy Management (IEM) facility. Power-aware embedded OSs provide support for voltage and frequency scaling management and profiling of system operations to set the appropriate power settings to get the job done. Mentor Graphics Corporation Wilsonville, OR. (503) 685-7000. [www.mentor.com].
Austin, TX March 19, 2009 Boston, MA May 7, 2009 San Diego, CA September 29, 2009
cover feature portable design in 2009
FPGAs to the Rescue by D enny Steele, Director of Marketing and Applications SiliconBlue
E
Every system designer today worries about three things: size, power and cost; for a designer of a portable device these can be nightmares. We as consumers want every feature we can get in our MP3 players, GPS units and smart phones. Of course, we want to pay nothing for these devices, we want them to be small enough to blend in with the surroundings, and we don’t want to ever recharge their batteries in our lifetime! But, to the system designer’s dismay, each added feature increases size, cost and power. Fortunately, a new class of small, low-power, inexpensive Field Programmable Gate Arrays (FPGAs) is rescuing portable system designers. Historically, the high power consumption of traditional FPGAs has kept them out of the portable devices market. Instead, small Complex Programmable Logic Devices (CPLD)— a less expensive, less sophisticated, skinnier cousin of FPGA) were used in portable devices to provide some basic programmability and to do some rudimentary operations such as voltage level shifting and port enhancement. However, the recent emergence of ultra-low-power FPGAs with high logic capacity, such as the iCE65 FPGAs from SiliconBlue Technologies, has opened new possibilities for portable systems designers. As a result, FPGA applications, which historically could only be used in bigger, power-hungry systems with elaborate cooling mechanisms, can now nicely fit in a handheld device (without burning our hands). One such application is to utilize the FPGA as a “coprocessor”—a secondary processor that can offload applications otherwise per¬formed by the main application processor. In traditional embedded system designs, the idea of an FPGA coprocessor is to achieve some form of code ac22
PORTABLE DESIGN
celeration by identifying and converting computationally intensive algorithms into FPGA hardware. But in low-power consumer product applications, the primary goal of an FPGA coprocessor is to save power by offloading tasks from the application processor. One such task is simply blinking the Bluetooth LED every half second. Obviously the system’s ARM processor is more than able to handle this. However, it’s a bit like using an eighteen wheeler to take a letter to the post office. Better to keep the system processor in deep sleep mode, and offload LED blinking to a small, low-power FPGA. A more complex example is an MP3. Often the application processor is used to decode the MP3 and send the audio data to a DAC or Bluetooth module. This means the power-hungry applications processor is running for the entire time that the MP3 is playing. A better option would be for the applications processor to place the MP3 file in a buffer, let the FPGA decode the MP3 and stream it out to the DAC, while the applications processor stays powered down. But using an FPGA to implement a powersaving MP3 coprocessor is only one of many such applications. Today’s portable world is dominated by convergence—our cell phone is no longer just a phone; now it is also an audio/ video player, a camera and a GPS. These new multi-function devices are posing a new power consumption challenge for designers: a device may have long battery life when used solely as a phone, but it may drain the battery much quicker when it plays video. As with the MP3 example, an applications processor running at full speed, communicating with the video CODEC and media FIFO does not achieve optimum power consumption.
Here is another place where an FPGA coprocessor can come in handy. It can be configured to perform the CODEC and FIFO functions at much lower power than the applications processor, thus increasing battery life. Smart phones have an LCD timing controller that connects the CPU to the display. This is another function that an FPGA coprocessor can offload. Each time a low-power FPGA coprocessor offloads an applications processor task, the net result is power savings. So why not just use an ASIC? One immense benefit of using an FPGA coprocessor over an ASIC or ASSP SoC is its reprogrammability. Say an engineer needs to upgrade the video functionality in an existing applications processor to MPEG4, in order to enable some new video features in a smart phone design. Using an ASIC or ASSP could easily require several months, and would incur fabrication costs. In contrast, an FPGA implementation could be done in as little as a week, and has no fabrication cost. With the availability of new, small, ultralow-power FPGAs, the FPGA cost, flexibility and time-to-market benefits enjoyed by wallplugged devices can now be applied to powerand space-constrained handheld devices. The smart use of an FPGA can significantly enhance the battery life, and the new marketing claims, such as “10 hours of MP3 play time, “6 hours of GPS,” etc., are not as far-fetched as they may have once seemed. SiliconBlue Technologies Corporation Sunnyvale, CA. (408) 530-8800. [www.siliconbluetech.com].
Industry’s smallest power-management IC for portable media players Integrates Li+ charger, Smart Power Selector™, three step-down converters, and a WLED step-up converter in a tiny 4mm x 4mm package USB/AC-TO-DC ADAPTER
Travel light: advanced USB charger* eliminates bulky adapter, meets USB 2.0 specifications
SYS
DC
“Instant-on” response: Smart Power Selector shares system and battery power, enabling operation with dead or no battery
BAT Li+/Li-Poly BATTERY ENABLE SYSTEM
EN123
ENABLE CHARGER
CEN
ENABLE BACKLIGHT
EN4
SYS
OUT1
LX4 OVP4
Dimming control: high-efficiency stepup converter drives 2 to 6 WLEDs
m 8m
m m x 0,
Evaluation kit
IC
2016
7.6mm
2016
1608 1608 1608
0603
1608
1608
2012
MAX8819 4mm x 4mm
1608
2220
x4
0603
1005
1608
CORE
LEGEND
0603
0603
1608
OUT3
4mm
11.6mm 1608
MEMORY
GND
~88mm2 solution area, 1mm solution height 1608
OUT2
Maximizes battery life: three 95% efficient, 2MHz step-down converters
TQ 28- FN FB4
2016
I/O
MAX8819
DIODE CAP
RES
INDUCTOR 0603
(Form-factor-optimized placement)
Smart Power Selector is a trademark of Maxim Integrated Products, Inc. *U.S. Patent #6,507,172.
DIRECT
™
www.maxim-ic.com/shop
www.avnet.com
www.maxim-ic.com/MAX8819-info
For free samples or technical support, visit our website or call 1-800-998-8800. The Maxim logo is a registered trademark of Maxim Integrated Products, Inc. © 2009 Maxim Integrated Products, Inc. All rights reserved.
wireless communications spectrum shakeout
The Future of Ultra Wideband—The Shakeout Begins “The reports of my death have been greatly exaggerated.” – Mark Twain
by Eric Broockman, CEO, Alereon
R
Recently WiQuest—a leader in first-generation Ultra Wideband (UWB) silicon—closed its doors. One question that will surely be asked is, “What does this mean for the future of UWB?” Some industry pundits will proclaim that UWB is dead or that this is some form of setback to the industry. Not so. Those of us in the high-tech business have seen this movie many times before. To really answer the question, we need to review history from two perspectives. First, why UWB makes sense, and second, what the history of new technologies teaches us.
History of UWB
UWB came into being because of new FCC regulations authorizing the use of an ultra-wide band of frequencies to transmit information in an unlicensed frequency band from 3.1 to 10.6 GHz (Figure 1), but at infinitesimal transmit power levels. Over time, the WiMedia UWB 24
PORTABLE DESIGN
standard was developed. WiMedia is the only technology capable of transmitting data in a personal area network that acts as a point-topoint wireless cable replacement technology. The speeds can reach 480 Mbits/s, and in the future, even faster. More importantly, the power and battery efficiency per megabyte of data transferred is 5 to 10 times more efficient than Wi-Fi. In addition, unique to UWB, it can be deployed at high density on every desktop in a typical office layout without interfering with other users while still delivering very high throughput. These fundamental advantages of UWB versus other non-UWB wireless technologies have not vanished because one company has closed its doors. In fact, the unique advantages of UWB not only make it attractive enough to have been adopted for use in Wireless USB, but also to have been adopted by the Bluetooth SIG as the basis of a future high-speed version
The Newest Semiconductors
The ONLY New Catalog Every 90 Days Experience Mouser’s time-to-market advantage with no minimums and same-day shipping of the newest products from more than 366 leading suppliers.
The Newest Products For Your Newest Designs
www.mouser.com Over A Million Products Online
(800) 346-6873
wireless communications
that were either acquired or have subsequently been lost to history. As a continued history lesson, when the Bluetooth standard began, again there were dozens of start-ups. They were competing against Ericsson and other major semiconductor manufacturers. Cambridge Silicon Radio, now called CSR, was not the first to market, but they brought the best to market. They subsequently became a public company and a billion dollar wireless provider (which ironically is now under pressure from Broadcom). There
figure 1 -40 FCC Part 15 Limit
-45 EIRP Spectral Density (dBm/MHz)
exploration er your goal eak directly page, the resource. hnology, and products
of Bluetooth. In the near future Bluetooth over UWB will certainly provide compelling applications for portable electronics. To summarize, the value of Ultra Wideband hasn’t disappeared just because one company has disappeared. Compelling applications exist, and major consumer-product manufacturers are actively pursuing these applications. After all, a life without wires so that your desktop is devoid of a tangle of USB cables is a desirable benefit all consumers can agree on that UWB can deliver.
In the near future
UWB passband 3.1 to 10.6 GHz
Bluetooth over UWB
Indoors
-50
will certainly provide
-55
compelling applications
-60 Outdoors
for portable electronics.
-65 -70 -75
d
-80 1
2
4 Frequency (GHz)
6
8
10
FCC UWB Passband Specification
mpanies providing solutions now
oration into products, technologies and companies. Whether your goal is to research the latest datasheet from a company, to a company's technical page, the goal of Get Connected is to put you in touch with the right resource. Whichever level of Get Connected will help you connect with the companies and products you areBest searchingBeats for. First
nected
End of Article Get Connected
with companies mentioned in this article. www.portabledesign.com/getconnected
26
PORTABLE DESIGN
Now let’s look at some other high-tech history. If we study the development of the 10/100 Ethernet standard, it wasn’t AMD, nor was it Level One, nor National Semiconductor that ultimately won that race. Instead, it was a little known start-up, Broadcom Communications, which prevailed and ultimately grew into the powerhouse they are today. Interestingly, Broadcom was not first to market. However ,they were not far behind, and they had a superior performing, lower power product and they won. Remember also, there were dozens of other 10/100 start-up companies at the time
were dozens of other Bluetooth start-ups, a few of which were acquired by larger companies, but ultimately CSR was the true winner. Our next example is Wi-Fi. Back in 2003 there was a study done of how many Wi-Fi start-ups had been funded. The study I read claimed that 53 Wi-Fi start-up companies were begun during the tech boom. Three were acquired by major companies. However, Atheros, a Silicon Valley start-up, beat back the likes of TI and Intersil, and ultimately captured the market to become a successful publicly traded company and a leader in wireless communications. The rest of the 53 vanished. Finally, let’s go back a bit farther in time to the beginning of the cell phone. A start-up company nobody had heard of began in San Diego, California. The founder had an outlandish vision—that one day everyone in the world would carry around a battery powered phone to make phone calls. They were compet-
ing against Motorola, the granddaddy of radio communications for generations. More than two decades have passed since Qualcomm was founded back in 1985, and last year they became the largest wireless semiconductor supplier in the world.
It Takes a While
Common to 10/100 Ethernet, the early days of Bluetooth, the history of Wi-Fi and the history of the cell phone, was a painful and long birthing process. Each of these markets took longer than most people thought it would to develop into a $100M and then multi-billion dollar market. In fact, it took a lot longer. In each of these technologies, the ultimate winner was not one of the established players but a newcomer, a start-up company focused on providing superior solutions in a timely manner to meet customers’ needs. It was also not the first company to market that conquered, but rather the best to market. These companies ultimately went public. There were other start-ups that were acquired. However, most shared the fate of shutting their doors. I feel badly for the employees of WiQuest; at the same time we all know that when you work at a private company “to the winner goes glory”; the near winner is likely acquired by a bigger company; and for those that falter, the doors close. That is the Darwinian nature of this business. The closure of WiQuest does not portend the end of UWB. Rather, it is a testament to the fact that they did not have a solution available for OEMs today that provides support for world-
wireless communications
wide shipments at high throughput and low power consumption. WiQuest is the most visible start-up to close its doors so far, but if history tells us anything, they won’t be the last. With or without WiQuest and others, UWB will continue to move forward. It has begun with the introduction of wireless docking stations and wireless hard drives. Soon you will see easy-to-use wireless conference room projectors—no more “pass the VGA cable please.” Digital cameras will soon be available that automatically upload your photos to your PC at very high speed without draining your battery. Yes, the market has taken a long time. UWB is a difficult technology to design and build. However, UWB is taking the same well trodden path of many other highly successful technologies that came before it. Taking longer than most people thought, being declared dead a few times along the way, only to ultimately become a mainstay in our modern day life. UWB will be no different, and will usher in a future of “life without wires”—a future that all consumers are anxious to embrace. Alereon, Inc. Austin, TX. (512) 345-4200. [www.alereon.com].
JANUARY 2009
27
portable power future trends in power management
Future Trends in Power Management
I
by Andy Dykstra, Power Market Development Manager, Texas Instruments
In today’s world of power management products, two opposing forces wage battle. First, fierce commoditization of products used in highvolume products has driven the selling price dramatically lower over the past several years. Second, customers are increasingly demanding higher system integration and functionality.
Commoditization
In high-volume applications such as handsets, personal media players, set-top boxes and digital televisions, the competition in DC/DC converter products is brutal. Several smaller power management companies are winning sockets at lower and lower prices, even as devices increase in performance or efficiency. In these and other similar high-volume applications, cost matters more than performance and system integration, as the price of their end product is being driven further down every day. Engineers creating these high-volume products can demand low prices because the power management semiconductor vendors are enticed by enormous volumes and are competing with other low-cost commodity power products. The company that provides the lowest cost, “good-enough” solution wins the socket. Typically this favors the smaller company with low overhead and outsourced manufacturing.
Combating the Commoditization Trend
The progression toward commoditization can be fought by capitalizing on another trend—system optimization. As processors become increasingly powerful, sophisticated electronics must accompany them in order to take full advantage of all of their features. Power management devices can showcase a processor’s features by integrating higher levels of intelligence AND flexibility, and incorporating non-power functional blocks. Today, these so28
PORTABLE DESIGN
phisticated power management chips are primarily used in portable, battery-powered applications such as cell phones and personal media players/ MP3 players. However, non-portable applications are turning to these solutions as well. In battery-powered applications, customers want to wring every last bit of energy out of batteries. Features such as dynamic voltage and frequency scaling (DVFS) and automatic voltage scaling (AVS) help extend battery life by allowing the processor to enter lower power consuming modes when large amounts of processing power are not needed. DVFS allows the processor to alter its voltage rails as processing demands change. AVS optimizes each of these operating voltages depending on process variations, temperature and silicon aging. For example, if a personal media player changes from video playback to MP3 playback, the processor is not required to work as hard. The voltage can be lowered and operated in a lower power state to increase overall battery life. For “plugged-in” electronics such as digital televisions and set-top boxes, power efficiency is an important feature and will continue to grow in its significance due to increasingly stringent regulations such as Energy Star. Televisions and set-top boxes spend the majority of their time in an idle state, so it is important to maximize the efficiency in this state. Energy Star limits standby power to less than 1W, although many companies have a goal of consuming less than 100 mW while in standby. Features such as light-load efficiency and phase-dropping in multiphase topologies minimize power consumption in these inactive states in both AC and DC applications. In order to create more value to their end customers in both non-portable and battery-powered applications, power management vendors are looking at other power and non-power functional blocks that can be integrated with their power devices. Blocks such as multiple DC/DC converter outputs, audio
codecs, USB phys, battery chargers, LED drivers, touch screen and keypad controllers, and generalpurpose analog-to-digital converters create value by decreasing customer component count, total bill of material (BOM) and placement cost, and overall board size. There are potential downsides to integration though such as increased concentration of switching components and thermal stress, as well as decreased performance if the process nodes don’t align (can be a hazard when integrating audio and power in the same silicon). Based on the number of options for integration, a number of device options are available to cover the different corners of desired functionality, giving customers even more flexibility in optimizing their designs. Companies that have larger existing analog portfolios are typically more capable of capitalizing on the integration angle.
Power’s Future
What does this all mean to the future of power management devices? It means that while the engineers place less monetary value on the function of power devices, power management companies can retain and create value by understanding their customers’ products from a system-level perspective. These companies must continually ask the questions: In what ways can we help to make the customer’s products better? How can I make the engineer’s job easier? And, is there a unique way of solving this problem? Original equipment manufacturers (OEM) will always work to increase their bottom line by paying less for the components they buy, and it is up to the power management semiconductor companies to find new ways to keep their products from being commoditized. Texas Instruments Inc. Dallas, TX. (800) 336-5236. [www.ti.com].
portable power future trends in power management
Future Trends in Power Management by Wendy Lockhart, Principal Engineer, Market Communications, Actel Corporation
A
As the features, complexity and capabilities of electronics systems have evolved over time, so have the requirements for power management in these systems. Evolving from board-level power generation to system-wide power management, and now to system management, the technology for addressing power concerns in electronic systems has increased in complexity along with the systems they supply. Power generation in early electronic systems involved discrete components, providing simple voltage levels that were either on or off. As the number of devices on a board and their complexity increased, boards ended up with multiple supply voltages and as a result, needed sequencing between the different levels. This type of system would use either an applicationspecific standard product (ASSP) or simple programmable logic power management device. These devices are configured when the system is created and continue with the same function regardless of system response. Intelligent power management not only controls the voltages, but can also track and trim the voltages and currents in a closed-loop monitoring system. This requires more intelligence than the basic programmable power devices. The intelligence can be provided either in the form of a microprocessor or FPGA beside the power management devices. For example, Actel’s low-power IGLOO devices can be used to store a start-up sequence for the system while using very little power, providing the capability to enter shutdown mode or low frequency operating mode to maintain minimum function while waiting for a wake-up signal to trigger the rest of the sequence. System management is the next step in the evolution of power management. System management requires more than just voltage generation and sequencing; it includes system knowledge and communication between mul-
tiple boards to manage voltage, current and temperature for the complete system. System management can be implemented using either custom design or developed with emerging standards-based options. The Intelligent Platform Management Interface (IPMI) message-based interface is widely used in system management implementations for platform monitoring and control functions. Management resources, either on board or remotely located, can track the status and voltage usage of all the boards across multiple systems. For example, IPMI enables a power-down request to be sent to one board in the system. That board then communicates back that it is either unable to power-down because it is in the middle of some critical action, or it is able to execute the power-down request and is doing so now. IPMI communication is not all one way; a managed board can also send a critical status message up to the management resource, indicating a critical payload fault, out of thermal range, voltage or current conditions, or routine sensor log readings. AdvancedTCA, AdvancedMC and MicroTCA are examples of standards that use IPMI for communication between managed boards, often collectively referred to as TCA standards. As more systems incorporate integrated system management, these implementations will eventually grow to include predictive diagnostics and enhanced sensor data logging capabilities to enable continuous system monitoring, managed maintenance and dynamic system resources, and power optimization based on tracking of system parameters in real time. Today, FPGA logic can be used to implement communications protocols that can request a service call while the flash memory blocks perform data logging and tracking. In the future, these same sensor records and communication protocols will enable identification of sensor
readout patterns that pinpoint a system module that will soon fail. Requesting preemptive replacement before modules fail will result in significant improvements in system up-time and reliability. Better monitoring of system power and tighter control of when sections of the system are powered up can lead to a reduction in power usage and reliability of complex electronic systems. By using a single-chip, high-reliability, mixed-signal flash FPGA solution, design engineers can now take advantage of the full range of system management capabilities. Actel Corporation Mountain View, CA. (650) 318-4200. [www.actel.com].
JANUARY 2009
29
portable power future trends in power management
The Future of Mobile Power by Rick Zarr, Chief Technologist, PowerWise Products and Technology, National Semiconductor
I
It is difficult to summarize the impact of the myriad of mobile devices that are carried around in the pockets of modern society, but for sure they have made us more connected, informed and interesting. What we do know is that these devices require power to operate and for the foreseeable future will continue to do so. The question is how much energy and from what sources will future mobile devices draw their power. If we attempt to break down the family of existing pocket-sized mobile devices we can identify two major categories, those used for communication and those used for entertainment. In reality, that line has vanished and many devices now provide both functions adding additional power requirements beyond simple “talk time.” Looking forward, that requirement will continue as features such as mobile two-way video and IPTV become ubiquitous requiring improvements in both efficiency and battery technology. It is widely accepted that battery technology has not yet caught up with modern electronics. Engineers and scientists continue to look for higher energy density storage solutions. There are a few on the horizon, and one that leaps off the pages of a science fiction novel is carbon nano-tube super capacitors. By growing fields of tiny carbon nano-tubes on the plates, extremely large charge densities can be achieved. Super capacitors lack the chemical processes that limit the life span of batteries, and since these storage devices are capacitors, they can provide very fast charge times as well. Beyond improving the energy storage of mobile devices, there is the potential for power generation through various harvesting methods. Since these devices are often “mobile,” energy can be scavenged from light and vibration, and stored. These supplemental energy sources will dramatically extend the run time of mobile de30
PORTABLE DESIGN
vices. By placing photovoltaic cells on the back of a PMD, a user could simply lay the device on a surface upside down and recharge it anywhere there’s light. While in your pocket, the vibration of everyday use could also provide additional energy. In addition to harvesting, wireless charging will finally cut the last wires tethered to your PMD. Technologies such as Vertical Fountain Flux charging can directly charge a mobile device without damaging nearby magnetic-sensitive materials (e.g. credit card magnetic strips, etc.). All that a user needs to do is lay the PMD on the charger pad…and that’s all. Other wireless power technologies are being developed and someday may be standardized and ubiquitous, allowing your PMD to operate without ever being connected to wires. So we know these devices need power and those technologies are improving, but what about the consumption part of the equation. The features and functionality of PMDs are constantly increasing while manufacturers continue to provide improved run times. This can only mean that the energy efficiency of the functionality is improving. One of the biggest consumers of energy (besides the RF components) is the display. Devices are using larger displays as touch technology becomes increasingly popular. The larger LCD displays require higher-power backlights, so any improvement here goes a long way to improving power consumption. There are several promising display technologies that can improve the power consumption. One is Sequential Frame LCD, which removes the color filters from the display that absorb up to 85% of the backlight energy. These displays use red, green and blue LEDs as the backlight, and special frame sequencing to quickly switch between each primary color frame forming a complete color image. This can reduce the backlight requirements to only 20% of that of
conventional LCDs, dramatically reducing power. Another display technology is Organic LEDs or OLEDs. Not only does this technology dramatically reduce power, but it also allows for some very creative displays. Since the technology can be “printed” on plastic, it can be flexible, allowing displays to roll up or bend, which allows for even larger displays in PMDs. Finally, the power converters themselves are evolving. It will soon be possible using Micro Electro-Mechanical Systems technology, or MEMS, to build power transformers and highdensity capacitors right on the die of integrated circuits, allowing a complete power supply in a chip. Currently, integrated buck switching regulators contain everything except the output filter comprised of an inductor and capacitor. By integrating those last two large components onto the die and moving the switching frequencies higher, high-efficiency converters can be built on a chip again shrinking the PMD even smaller or providing more room for energy storage. There is no doubt that we are increasing our use of mobile devices, and users expect each generation to provide a richer user experience as well as improved run time between charges. Ultimately, we may rely completely on these devices and rarely sit in front of a “computer.” The lines have already blurred in many cases, and with the emergence of new technologies, nextgeneration PMDs will continue to amaze us. National Semiconductor Corporation Santa Clara, CA. (408) 721-5000. [www.national.com].
DROWNING IN A SEA OF
INFORMATION?
THIS EVENT IS A
REAL-LIFE SAVER
www.rtecc.com
product focus configurable processors
Configurable Processors—Boon or Bane? Is configurability the cure-all for volatile portable designs, or would you be better advised to go with what you know?
W
by John Donovan, Editor-in-Chief
When it comes to portable designs, it’s hard to say which is moving faster, the market or the technology. Each year we see scores of new cell phone models introduced, most of which will disappear within six months—and all of which are the end product of a design process that started 12-18 months earlier. During that time audio, video and RF standards have continued to evolve and consumer tastes have remained on spin cycle. The chances that all of your original design decisions will turn out to be on the mark a year or two later are vanishingly small. These are concerns that configurable processors attempt to address. Up to the time you tape out your SoC, you can optimize it to handle that recently standardized video codec, making informed trade-offs between power, performance and price. However, does the vendor’s toolset support the custom instructions you just created, or would you do better to stick with a more standard and proven configuration? As with everything else, it depends.
The Configurable Camp Makes Its Case
Sumit Gupta, product marketing manager at Tensilica, makes the case for configurability. “The advantage of a configurable processor over high-MHz processors is that it gives you the performance you need without giving up on area,” according to Gupta. “Higher speed always comes at the cost of higher area. Higher speed means a 32
PORTABLE DESIGN
longer pipeline, more FLOPS, more branch prediction, fancier memory architectures and outof-order logic.” With configurable processors, you’ve created an area-optimized solution, which equates to lower power and lower cost. Tensilica’s main configurable offering is the Xtensa 7 processor (Figure 1), a configurable, extensible and synthesizable 32-bit RISC processor core intended for high-performance, low-power applications such as embedded control and digital signal processing. Xtensa features a 5-stage pipeline, 16/24-bit instruction encoding with modeless switching and tool support for a wide range of designer-configurable options. Xtensa LX2 adds RTL-equivalent bandwidth and is aimed at more data-intensive applications. “Tensilica’s Xtensa processor architecture was designed from the start for use in ASICs,” explains Tensilica’s technology evangelist Steve Leibson. “As a result, the Xtensa architecture uses the good RISC features that improve performance, such as 3-operand instructions, pipelining for single-cycle instruction execution and a load/store architecture, but it has decidedly non-RISC features as well. Most notable of these is the Xtensa ISA, which includes native 16- and 24-bit instructions, which reduces code footprint, reduces the number of instruction fetches needed to execute a program, and therefore reduces both cost and execution time when compared to the original 32-bit instruction sets of the ARM and MIPS architectures.”
product focus
ARM and MIPS See It Differently
Needless to say, ARM and MIPS have a different take on things. While admitting the advantages of configurability, both claim they have been mischaracterized as having fixed architectures when in fact they’re both highly configurable.
figure 1 TRACE Port JTAG Tap Control
Register File Interrupt Control Timers 0 to n Exception Support
Designer-Defined Register Files
Instruction Fetch/PC Unit
MMU ITLB
Align and Decode
Parity/ECC
On Chip Debug
Processor Controls
ALU
Instruction Cache Instruction ROM Instruction RAM
MAC 16 MUL 16 Designer Defined Execution Units
External Interface
MUL 32
Xtensa Processor Interface (PIF)
Write Buffer (4 to 32 entries)
FPU
Data Cache Data Load/Store Unit
Parity/ECC
Instruction Address Watch 0 to n Base ISA Feature Configurable Function Optional Function Optional & Configurable Advanced Designer Defined Functions
MMU DTLB
Data Address Watch 0 to n
Data ROM Data RAM Xtensa Local Memory Interface
Tensilica Xtensa 7 processor architecture
figure 2 Hardware Platform SIMD MP#1 128-bit SIMD MP#2 128-bit
Entropy Decode (ED)
ARC 700 CPU Instruction Data Cache Cache
Local Scratchpad Memory (SDMI)
“Because the 16- and 24-bit instructions are native,” continued Leibson, “there’s no mode switching between them. The processor can automatically determine the length of each instruction and therefore doesn’t switch modes between them. In addition, the Xtensa processor’s instruction set includes some merged instructions that perform more than one operation per instruction, such as the EXTUI instruction, which performs a shift-and-mask operation in one clock cycle.” ARC International has also staked its claim in the configurable processor arena. In ARC’s case the focus is on complete audio and video subsystem cores. According to Bill Jackson, ARC’s VP of marketing, “We have a series of product offerings starting with traditional 32bit RISC CPUs, which is the history of ARC. It’s a relatively traditional 32-bit RISC but it’s configurable; that is, the licensor of the IP can change things in it to suit their needs. For example, you can add a new instruction to the instruction set to do something that is unique to your application. We provide the tools and the software necessary to enable all of that as part of our core offering.” “The next step up from that are subsystems (Figure 2),” continued Jackson. “Those are preconfigured systems that are set for a specific purpose. For example, we do an audio subsystem called the ARC Sound 210; that is a processor that is configured with specific extensions in it for audio processing. On top of that we license codecs that do processing of different audio types, for example MP3. So we sell both audio and video subsystems. And then finally we have post-processing software that runs on top of all that; it does enhancement of process audio.” To what extent are ARC processors configurable? Jackson: “The user can configure the register set; they can configure the cache sizes; they can configure instructions, and there are several bus options that they can configure. You can take things out. We provide a tool called ARChitect that enables you to define and build these things, so it’s not like you are editing RTL.” What advantage does the ARC architecture offer? “We have a considerable range of technology that allows someone to tailor hardware to be exactly what they need so they don’t have to spend any more power or silicon area or design time on features that they don’t care about, unlike the vast majority of our competitors where if you buy their core from them it is what it is and you’re stuck with the whole thing. That’s one of our advantages over the likes of ARM and MIPS.”
Channel
Integrated Software Entropy Encode (EE)
Motion Estimation (ME)
Video Optimized DMA Engine
Standard Definition Encode H.264 BP Encode under 200 MHz H.263, MPEG-4 SP/ASP Encode JPEG Encode Standard Definition Decode H.264 BP Decode under 160MHz VC-I Decode MPEG-4 (ASP,SP) Decode MPEG-2 Decode, MJPEG, JPEG, GIF, PNG, TIFF Decoders Audio Coding MP3, WMA, AAC, aacPlus v2, AC3, Dolby Digital Plus
System Bus I/F
ARC VRaptor video subsystem architecture
JANUARY 2009
33
Richard York, director of product marketing for microprocessors at ARM, takes particular umbrage to characterizing ARM’s architecture as “fixed.” “People sometimes think of ARM as having a fixed architecture and other companies as having a configurable architecture,” complains York. “Although at the core instruction set level that’s true, at the system level— particularly around the memory systems and the interrupt controllers and the memory interfaces—that hasn’t been true for ARM for quite a while. Cortex-M3 (Figure 3), our microcontroller core, has something like 10 discrete configurability options, and with some of those options you can choose 10 to 20 different options. So there are something like 266 million discrete versions that you can configure. The number of interrupts, the interrupt controller, what your debug looks like, how many breakpoints and watchpoints—all of these things we configure a huge amount of on our products.” “Our architecture has evolved,” continued York. “We’re not purely RISC anymore. From
figure 3 Debug and Sleep Control
Optional ETM
1-244 Configurable Interrupts (32 Standard)
exploration er your goal eak directly page, the resource. hnology, and products
3-Stage Pipeline, Harvard, Thumb + Thumb-2 modes (no ARM mode)
Nested VIC
Processing Core 33k*
ETM Optional Memory Protection Unit
MPU
Non-Maskable Interrupt DAP
d
DWT
FPB Flash Patch and Breakpoints
Debug Access Port (Single Wire) SWV Bus Matrix
mpanies providing solutions now
Cortex-M3 Total 60k*
Data Watchpoints and Trace
oration into products, technologies and companies. Whether from a company, Key Flash your goal is to research the latest datasheet SRAM/Peripheral to a company's technical page, the goal of Get Connected you inWire touchViewer with the right resource. Whichever level of Debug Interface is to putSingle Interface Get Connected will help you connect with the companies and products you are searching for. CoreSight nected
ARM Cortex-M3 processor architecture
End of Article Get Connected
with companies mentioned in this article. www.portabledesign.com/getconnected
34
PORTABLE DESIGN
the beginning we’ve been a bit pragmatic about having a variable-length instruction set, which some people think is just anathema to the microprocessor world; and frankly, variable length might make the processor more complex. But if I can shave 10% off my code size, that can save me tens of cents and can make the difference between a successful product and an
unsuccessful one. We’ve now standardized on a variable-length instruction set, which means that a compiler can pick freely between 16-bit and 32-bit instructions. So a customer isn’t stuck with having to double the amount of flash memory based on their choice of microprocessor. That’s a wrong direction to have gone.” York plays the ecosystem card in response to Tensilica and ARC. “At one level the benefits of the architecture have nothing to do with the architecture itself but everything to do with the ecosystem around it. I often find when I present to customers that one of the reasons we win designs against our competition is because they want a choice of which compiler to use, which RTOS, which debugger and which ICE vendor. If they go with ARC or Tensilica, they’re typically locked into one or two vendors, and that makes them nervous. So in some sense it’s not the features of the architecture that’s the important thing, it’s support for the architecture.” Jack Brown, VP of marketing at MIPS, takes a similar tack. “Our products have a large degree of configurability, and that goes for all of our products—from the 4KE family through 24K/34K/74K (Figure 4) and multicore 1004K. Those products have scratchpad RAM, which is very fast; and no-wait-state RAM, as an alternative to cache. You can have various sizes of caches or no cache. You can determine things about the MMU, whether it’s a TLB or an FMT for map translations, and you can determine the size of the TLBs. You can add user-defined instructions; you can add a custom coprocessor. We have EJTAG and program-trace debug capabilities. Each of these standard cores has between 10 and 20 functional areas that have a large degree of configurability. So before I even start considering adding custom instructions, I can optimize how much area and how many gates I’m putting down for a specific application.” In addition to choosing between processor options, you can add custom instructions to MIPS processors. “Custom instructions can be attached in one of two ways,” explains Brown. “There are user-defined instructions, and those allow you to make use of the arithmetic logic unit in some of the cores; in other cores you can just attach directly to the instruction pipeline. But now you can leverage the machine’s ability to fetch instructions, and you provide custom logic for the decoded implementation of instructions.” “The other way to add instructions is through COP2 or the coprocessor,” continued Brown. “If I’m doing just a few instructions, say I want to make a VoIP application really scream, may-
product focus
be I had one or two instructions. If I’m trying to do a graphics interface to make it run faster, I might want to go through the graphics processor and then I can use the COP2 interface, which allows me to save and restore user states; it’s a heavier duty way to add instructions if you’re adding, say, dozens of instructions. So there’s a light way and a heavy-duty way. Both of these are supported by our compilers and they’re also supported by our MIPSsim instruction set simulator that MIPS provides, so that lets the customer do this in a simulation SystemC environment or in RTL.”
“I think today ARM and MIPS are not so worried about the threat of configurable processors; rather it’s the small size and efficiency of the ARC and Tensilica solutions in controller applications. Tensilica, in addition, has these extremely sophisticated toolsets for configuring your processor and setting them up in multiprocessor configurations.”
figure 4 CorExtend
I-Cache (16-64KB)
MDU
128
Are You Really Configurable?
Christian Heidarson is principal semiconductor research analyst with Gartner Hong Kong, specializing in microprocessors and embedded processor cores. He offered some perspective as well as context about MIPS, ARM, ARC and Tensilica. “Configurable processors tend to be most appreciated when you’re looking more for a hybrid MPU/DSP solution, which ARC and Tensilica can offer with their configurable options,” explained Heidarson. “Now with the Cortex-M3 ARM is making a huge push with THUMB-2 into deeply embedded controllers, so ARM will be competing more with ARC and Tensilica.” “ARM’s and MIPS’ strengths lie in their standard instruction sets; they do offer standard extensions for multimedia processing, for security and other areas,” continued Heidarson. “Then they do have some custom areas, which really helps them to reply to customers who are interested in specific applications and who are concerned that general-purpose instructions might fall short. But most of the ARM’s and MIPS’ customers will be using either their standard ISA or one of their standard extensions.” Are ARM’s and MIPS’ processors configurable in the same sense—or to the same extent—that ARC’s and Tensilica’s are? It depends on how you define “configurable.” Both ARM and MIPS claim their cores are configurable because you have any number of options that you can select before committing to silicon. ARC and Tensilica counter that their ISAs are far more extensible and thus capable of generating cores that are better optimized to a specific application; and their DSP capability enables flexible post-silicon software control. ARM and MIPS reply that their cores are more proven and their respective ecosystems are far broader, as is their tool support. Heidarson doesn’t see configurability as the key bone of contention between the two camps.
ALU Pipe Grad Unit AGEN Pipe
Decode and Dispatch Unit
FPU
EJTAG Debug
Bus I/F Unit
MMU (or FMT)
Load/Store Unit
(74Kf only) Off-Chip Debug I/F
Instruction Fetch Unit
Power Mgmt.
128
Scratch Pad RAM
D-Cache (0-64KB)
MIPS32 74K
Highly Configurable - Major Configurable Blocks Shown Above
OCP I/F
64-Bit Data 32-Bit Address
DMA I/F
Optional or Configurable
MIPS 74K core architecture
At the highest level the configurability argument is already over, since the major processor core vendors now all claim that their cores are configurable. To what extent they are—and how well their products will fit into your particular design and tool flow—is up to you to determine. Tensilica Inc., Santa Clara, CA. (408) 986-8000. [www.tensilica.com]. ARC International, San Jose, CA. (408) 437-3400. [www.arc.com]. ARM Inc., Sunnyvale, CA. (408) 734-5600. [www.arm.com]. MIPS Technologies, Inc., Mountain View, CA. (650) 567-5000. [www.mips.com].
JANUARY 2009
35
product feature Digital Capacitors Tune Mobile Antennas Silicon antenna tuner keeps VSWR under control in multi-band, multi-mode handsets. by John Donovan – Editor-in-Chief Multi-band, multi-mode antennas for handsets involve so many trade-offs that it’s almost too much to ask them to be efficient. Forget gain—let’s cut our losses! Typical passive penta-band (824-960 MHz and 1710-2170 MHz) handset antennas have a VSWR of 3:1 by design, causing a 1.25 dB mismatch loss, with additional losses in the duplexer and PA due to the mismatch. Inadvertently put a finger over the antenna and the VSWR can top 9:1, resulting in over 60% of the PA output power being lost as heat. When you’re working with a fairly rudimentary antenna and very low power, you can’t afford to sacrifice any of it to an antenna mismatch. A tuner is the only answer.
Peregrine Semiconductor Corporation has announced its DuNE Technology, using Digitally Tunable Capacitors (DTCs) for antenna tuning in multi-band, multi-mode handsets. DuNE devices feature high power handling and linearity; low power consumption; and are highly integrated in a small form factor. The first devices in the DuNE DTC portfolio are designed to meet the stringent broadband requirements for DVB-H and ISDB-T mobile TV; multi-mode, multi-band GSM/WCDMA cellular handsets; and the power handling requirements for interoperability between the two applications. To date micro-electromechanical systems (MEMS) and ferroelectric materials technologies—such as barium strontium titanate (BST) voltage-tunable capacitors—have been used to implement tunable antennas and filters; however, they are not yet proven for high-volume production and both typically require a high bias voltage (up to 30V or higher) to tune, requiring a separate CMOS charge pump and controller chip. Peregrine’s stock in trade is RF switches, so it’s not surprising that their solution takes
36
PORTABLE DESIGN
a switched-capacitor approach to antenna tuning. Because Peregrine’s UltraCMOS (CMOSon-Sapphire) technology enables monolithic integration of RF, analog and digital circuitry, their single-die DuNE DTCs integrate high-Q tunable capacitors (Q=40 to 80 at 1-2 GHz) with a built-in low-voltage serial interface, in a chip-scale package offering a footprint that is 5x smaller than competing technologies. Typical capacitance values range from 0.5pF to 10pF, with typical tuning ratios ranging from 3:1 to 6:1 with 5 bits or 32 states of resolution. In addition to >+38 dBm of power handling into a 50Ω impedance, DuNE DTCs feature high linearity (IP3 > +65 dBm) and switching speed of better than 5 µs. Peregrine’s new DuNE DTCs have been designed for 5 bits of resolution or 32 tuning states, which provides the level of fine resolution that is required to tune the antenna across the entire mobile TV band. As well, DuNE Technology enables a Q = 40–70 at 470 to 862 MHz for a 1.36 to 6.3 pF (4.6:1) tunable capacitor. The current consumption is only 11 µA at +2.75 V, while meeting the stringent power handling requirements of better than +28 dBm and IIP3 > +62 dBm. The initial DTC devices will use open-loop antenna tuning, with the devices taking their cue from a look-up table that correlates the settings with the frequency. Later versions will use closed-loop, or adaptive antenna tuning, dynamically adjusting the antenna tuning to minimize VSWR, enabling you to put your finger over the antenna—wherever that is—without dropping the call. Individual DTC devices are planned for release during 2009, beginning with the DTCs for mobile TV, which will be offered in a tiny 2 x 2 mm 8-pin DFN package. Pricing will be volume dependent. Peregrine Semiconductor, San Diego, CA. (858) 731-9400. [www.psemi.com].
products for designers Low-Power M-Series Spread Spectrum Crystal Oscillator
AT91SAM9G20 Evaluation Kit Ported to Linux v2.6.27
Pericom Semiconductor Corporation has announced the M-Series Spread Spectrum Crystal Oscillator (SSXO), offering customers both very low power and a simplified solution to meet the Electro Magnetic Interference (EMI) compliance requirements for applications such as digital set-top boxes and wireless network routers. The wireless access point market is expected to grow from 72MU in 2007 to 117MU in 2012 according to an Oppenheimer Equity Research report, August 2008. With a power supply requirement of less than 10 mA (milliAmps), and advanced EMI emission reduction, the new Pericom M-Series is ideally suited for emerging growth markets such as wireless routers, portable network connectivity applications, video surveillance and digital set-top boxes that need to control EMI resulting from memory access. “We are very pleased with the excellent EMI control performance of Pericom’s MN family SSXO for applications in our Connect-ME network connectivity products,” said Bill Kumpf, Digi International design engineer. “The MN series’ small profile and low power enable us to simplify our small size designs.” The M-Series SSXO is available in low-profile ceramic packages (MK - 7 mm x 5 mm, MD - 5 mm x 3.2 mm and MN - 3.2 mm x 2.5 mm), operates in the frequency range from 16 MHz to 66.667 MHz, and can be powered from either a 2.5V or 3.3V supply. Options include modulation control allowing either center spread with spread ratio up to +/-1.15%, or down spread with options for spread ratio up to -2.3%. MN Series (7 mm x 5 mm): $1.42 each in 5K qty; MD Series (5 mm x 3.2 mm) $1.45 each in 5K qty; MK Series (3.2 mm x 2.5mm) $1.49 each in 5K qty. Samples and production quantities are available now.
Atmel Corporation has announced the availability of the latest Linux mainline release, v2.6.27, for its 400 MHz ARM926EJ-S-based AT91SAM9G20 embedded microprocessor, and for other members of the AT91SAM9 family. A Linux distribution based on Linux v2.6.27 is available from Atmel’s AT91SAM Linux portal at www.linux4sam. org. It includes the complete Linux v2.6.27 kernel, the Linux patch for the AT91SAM9G20-EK, device drivers, pre-built demonstrations and the Angström/OpenEmbedded building environment. The AT91SAM Linux portal is a gateway to a wide and growing community that provides Linux self-support for Atmel’s entire ARM9-based range of embedded 32-bit microprocessors. Complementary products and support are available through TimeSys, including an embedded Linux ReadyKit for the entire AT91SAM9 series including the AT91SAM9G20. The ReadyKit comprises a pre-built Linux kernel, device drivers, a GNU-based cross toolchain, a glibc-based root filesystem complete with selected development libraries, 14 days of technical support and access to a wide range of support documentation. The 400 MHz AT91SAM9G20 features Atmel’s peripheral DMA (direct memory access) and distributed memory architecture that, together with the 6-layer bus matrix, enables multiple simultaneous data transfers between memories, peripherals and external interfaces without consuming CPU clock cycles. The external bus interface (EBI) is clocked at 133 MHz for high-speed transfers to off-chip memories. This architecture gives the device the high internal and external data bandwidth required by many embedded networked applications. Power consumption in full-power operating mode at 400 MHz with all peripherals turned on is only 80 mW. The AT91SAM9G20 also features four reduced-power modes, including Backup Mode where the main power supply is off and the device power consumption is sufficiently low (9μW) for an extended period of operation under battery supply.
Pericom Semiconductor Corp., San Jose, CA. (408) 435-0800. [www.pericom.com].
Atmel Corporation, San Jose, CA. (408) 441-0311. [www.atmel.com].
Low-Power, Asynchronous Dual-Ports for High-End Handsets Integrated Device Technology, Inc. (IDT) has introduced a new series of flexible, low-power, asynchronous dual-ports for high-end handsets. Acting as a bridge between processors, the new IDT devices allow handset designers to minimize device complexity. Moreover, by adding in power plane isolation functionality, the devices allow for a significant reduction in total system power consumption. The IDT 70P2X5 dual-ports are designed to work with application processors and baseband processors that make use of an address-data MUX (ADM) interface. The ADM interface has a lower input/output (I/O) count than other approaches, such as the standard asynchronous dual-port RAMs commonly found in high-end mobile handsets. The IDT dual-ports use 50 percent fewer processor I/O pins, freeing those pins to support desired differentiating functionality. Moreover, the IDT dual-ports also deploy eight dynamically programmable I/Os that the processor can use to control and/or monitor other devices, enabling the handset designer to add even more differentiating functionality. The power-plane isolation functionality inside the IDT low-power dual-ports allows handsets to achieve a true standby state and also provides the ability to power down entire processing subsystems, greatly reducing power usage and thus extended battery life. For those customers using additional logic or Complex Programmable Logic Devices (CPLD) to implement complex power management approaches, the IDT low-power dual-ports also help reduce design complexity by eliminating the need for these additional components. IDT is also announcing new devices in its family of 70P2X9 dual-ports. These next-generation products are pin and backward compatible with previous IDT devices, offering increased functionality without the need for re-design. To help in design flexibility, the devices also include selectable ADM/ non-ADM operation on both ports, support for variable core and I/O supply voltages, a 256K density option and power-plane isolation functionality. All the new IDT dual-port devices are currently sampling and are offered in a 100-pin fpBGA package. They are priced at $3.00 for quantities of 10,000 units. Integrated Device Technology, Inc., San Jose, CA. (408) 284-8200. [www.idt.com].
JANUARY 2009
37
products for designers
Signal Analyzers to Speed Development and Evaluation of Mobile Phones and Base Stations
TCXO for High-Precision GPS Applications
Anritsu Company has introduced a software bundle for its MS269xA Signal Analyzers to help engineers improve efficiency during development of mobile phones and cellular base stations, as well as in other R&D applications. These new functions—spectrogram, spurious emissions measurements, phase noise measurements and AM/FM measurements—provide critical information during the development process and allow rapid evaluation during the product test cycle. The spectrogram function provides 3D displays of changes in frequency and power in time for signals up to 125 MHz wide. Ideal for measuring the stability of burst signals and transient interference signals, the spectrogram function allows the user to capture and evaluate the time-changing details of RF signals. The spurious emissions measurement functionality supports Go/No Go evaluation of spurious vs. standard values, and perfectly complements the MS269xA Signal Analyzer’s wide dynamic range. With a front end that controls the noise figure and digital IF technology using 16-bit ADC, the MS269xA Signal Analyzers achieve a superior display average noise level (DANL) of -155 dBm/Hz and a third-order intercept (TOI) of ≥+22 dBm. The resulting dynamic range satisfies the strict spurious measurement requirements of digital systems, such as W-CDMA mobile phones. Phase Noise is a key metric for oscillators and other non-linear devices, so Anritsu has added a one-button phase noise measurement as a standard function of the MS269xA. Values are reported for the standard offset values of 100 Hz, 1 kHz, 10 kHz, 100 kHz and 1 MHz. Additional markers record the phase noise values at arbitrary offsets. The stability of the MS269xA platform ensures that these measurements are fast and accurate. The MS269xA Signal Analyzers support all popular wireless technologies, including LTE, GSM, GPRS, EDGE, W-CDMA, HSPA and mobile WiMAX. Three models covering frequency ranges of 50 Hz to 6 GHz (MS2690A), 50 Hz to 13.5 GHz (MS2691A) and 50 Hz to 26.5 GHz (MS2692A) are available in the series, so users can select the instrument that matches their measurement requirements. With a fundamental band that goes to 6 GHz, these high-performance signal analyzers have excellent level and modulation accuracy. The basic MS269xA starts at $37,000. Delivery depends on the options selected and is usually 6 to 8 weeks.
Epson Toyocom Corporation’s U.S.-based Timing Products Division has announced the development of a new frequency-stable TCXO (temperature-compensated crystal oscillator) with frequency stability high enough for even the most demanding GPS applications. The TG-5025BA is equipped with a photo AT chip, a very small, crystal chip microfabricated using Epson Toyocom’s unique QMEMS technology. In addition to minimal variability of characteristics, the new oscillator promises high reliability thanks to the use of internal wire bonding and Epson Toyocom’s original NPO (New Platform Oscillator) structure. In the NPO structure the crystal unit, encased in a ceramic package, is sealed together with an oscillation circuit in a molded plastic housing. This structure reduces the susceptibility of frequency to changes in ambient temperature. Epson Toyocom’s IC design technology brings additional advantages to the TG-5025BA, including precise temperature compensation, low power consumption since driving is performed at voltages as low as 1.68V, and a wide output frequency range (13 to 52 MHz). Epson Toyocom has successfully combined all the essential technologies for the development and manufacture of crystal devices: superior crystal chip processing, packaging and circuit design technologies. The result is a small, stable, GPS-ready TCXO. The TG-5025BA boasts a 36% smaller footprint and a 43% smaller cubic volume than its predecessor (2520 TCXO product). Despite its size, however, it has a frequency-temperature coefficient (an indicator of frequency stability over a temperature range) of 0.5 x 10-6, a rating equivalent to that of a TCXO in a conventional package size. One of the key features of the TG-5025BA is low power consumption, using some 30% less power than its predecessor. The new TG-5025BA oscillator is housed in a 2016-sized package, the world’s smallest package category (actual dimensions are 2.1 x 1.7 mm). Commercial development is scheduled for February 2009. Epson Electronics America, San Jose, CA. (800) 228-3964. [www.eea.epson.com].
Anritsu Company, Richardson, TX. (972) 644-1777. [www.us.anritsu.com].
Multicore Solution Supports Memory Partitioning eSOL, a leading developer of real-time embedded software solutions, has announced that its prominent eT-Kernel Multi-Core Edition now provides a “Memory Partitioning Option” to protect memory across different cores and partitions while maintaining software reusability, system reliability and design flexibility. eT-Kernel Multi-Core Edition is based on the symmetric multiprocessing (SMP) model, yet incorporates benefits of the asymmetric multiprocessing (AMP) model to offer deterministic characteristics for real-time embedded applications. The Memory Partitioning Option is best suited for automotive applications, aerospace instruments, high-end consumer electronics and office automation products with memory management units (MMUs) to attain high reliability and high quality within the system. Recent software and hardware advancements in multicore technology have opened up new system design opportunities for integrating multiple subsystems that run on separate processors. The problem with this approach is that each subsystem is growing bigger and becoming more complex, putting one reliable sub-system at risk from another corrupted subsystem sharing the memory space. An alternative solution is paravirtualization or hyper-visor to partition cores into different partitions and deploy different operating systems for each partition; however, this will unnecessarily reduce the flexibility of system design where multiple subsystems need to work more closely together. Paravirtualization also limits the effective reuse of existing software programs, especially those designed for an AMP environment. The solution to these challenges is an operating system in which the scheduling domains are separate for AMP and SMP-type cores, and memory protection across different cores and partitions is in place. eSOL has successfully pioneered its memory partitioning technology and incorporated it into its preeminent eTKernel Multi-Core Edition. It features software reuse and high reliability where a legacy single-core processor-based AMP system can be easily migrated to a multicore processor system. The device drivers and other system software, such as middleware, can be reused as-is. eT-Kernel Multi-Core Edition Memory Partitioning will detect any violation of accessing another partition’s local memory, greatly helping the development and assuring the quality of deployed systems. Furthermore, the benefit of using a single OS extends to development tools. eSOL provides the eBinder integrated development environment for eT-Kernel, consisting of a compiler, configuration tools, shell, debugger, and analysis tools. The same set of tools supports both single-core and multicore processors. eSOL, Inc., Milwaukie, OR. (503) 594-0990. [www.esolglobal.com].
38
PORTABLE DESIGN
2850-3210 MHz VCO Crystek’s CVCO55CC-2850-3210 VCO (Voltage Controlled Oscillator) operates from 2850 MHz to 3210 MHz with a control voltage range of 0.5V~14V. This VCO features a typical phase noise of -103 dBc/Hz @ 10 KHz offset and has excellent linearity. Output power is typically +4.0 dBm. The model CVCO55CC-2850-3210 is packaged in the industry-standard 0.5-in. x 0.5-in. SMD package. Input voltage is 8V, with a max current consumption of 35 mA. Pulling and pushing are minimized to 1.00 MHz and 3.00 MHz/V, respectively. Second harmonic suppression is -15 dBc typical. The CVCO55CC-2850-3210 is ideal for use in applications such as digital radio equipment, fixed wireless access, satellite communications systems and base stations. Pricing for the CVCO55CC-2850-3210 will start at $18.46 ea. in volume. Crystek Corporation, Ft. Meyers, FL. (239) 561-3311. [www.crystek.com].
RTL-to-GDSII Multicore Solution Cadence Design Systems, Inc. has announced the Cadence Encounter Digital Implementation System, a configurable digital implementation platform delivering scalability with complete support for parallel processing across the design flow. The Untitled-1 system also brings a new core memory architecture delivering higher-performance, higher-capacity design closure for single CPU operations. Cadence claims the result is dramatically improved design time, design closure and faster time-to-market for advanced digital and mixed-signal devices. Encounter Digital Implementation System also offers new technologies for silicon virtual prototyping, die-size exploration and RTL and physical synthesis, providing improved predictability and optimization in early stages of the design flow. In addition, multiple new and enhanced implementation and design closure technologies are being introduced, including automated floorplan synthesis, end-to-end multi-mode multi-corner optimization, variation-tolerant and low-power clock tree and clock mesh synthesis, high-capacity placement and optimization, 32-nanometer routing and manufacturing-aware optimization, signoff-driven implementation and flip-chip design features. Using Encounter Digital Implementation System designers are able to achieve high levels of predictability, productivity, scalability and flexibility from its unified and automated implementation environment for highperformance, high-capacity design closure; low-power, mixed-signal and advanced node design; and signoff analysis. The extensibility and integration of the Encounter Digital Implementation System helps designers to achieve rapid technology adoption, and a faster, higher-quality ramp to volume production. The Encounter Digital Implementation System’s advanced node technologies, including litho-, CMP-, thermal and statistical-aware optimization, make it an uniquely capable solution for leading-edge 45- and 32-nanometer designs—those with aggressive design specifications including 100 million or more instances, 1,000-plus macros, operating speeds exceeding 1 GHz, ultra-low-power budgets and large amounts of mixed-signal content. The system provides comprehensive manufacturing-aware and variation-aware implementation, and an end-to-end multicore infrastructure for fast, predictable design closure.
1
4/13/08 3:57:12 PM
Untitled-2 1
JANUARY 2009 39 PM 1/16/09 2:50:06
Cadence Design Systems Inc., San Jose, CA. (408) 943-1234. [www.cadence.com].
Microchip Upgrades Software Stack with USB OTG Support
Untitled-1 1
4/13/08 3:57:29 PM
FREE PCB Layout Software!
PCB Artist -
Top Rated on download.com Intuitive... quick and easy to use, and it’s Free! Free Gerber files with order upon request Integrated Schematics Schematic Symbol, Footprint, Part Creation Wizards Design Rule Check Error Report Fast Automated Planelayer Generation
Download Now at www.PCBArtist.com
Microchip Technology Inc., Chandler, AZ. (480) 792-7200. [www.microchip.com].
Top Level Professional - The Latest in PCB Software! - High Speed Design - Chip on Board - Embedded Components - Imports many Design Packages - Grows with you! - Mixed-Mode Simulation
Download Now at www.4pcbsoftware.com
artist-pulsonix.indd 1
40
PORTABLE DESIGN
Microchip Technology Inc. has announced a USB-IF certified upgrade to its free USB software stack that includes On-The-Go (OTG) support for its 16-bit PIC24F microcontrollers (MCUs), and a variety of new features for its comprehensive portfolio of 8-, 16- and 32-bit USB PIC MCUs. The stack is available for free download now at http:// www.microchip.com/USB, including a highly optimized library and full source code, and OTG stack certification for the 32-bit PIC32 family is expected in early Q1 2009. To make implementing embedded USB even easier, Microchip is also offering a hands-on class that is available from its worldwide network of more than 35 Regional Training Centers. All of Microchip’s MCUs and digital signal controllers are supported by the free MPLAB IDE, which allows integrated development with the new USB stack. Additionally, a broad range of low-cost and full-featured development tools are available from Microchip and its thirdparty partners, including the modular Explorer 16 development board. Embedded engineers are striving to develop scalable designs for complete portfolios of end products. Additionally, the expansion of USB Host functionality into multiple non-PC based applications, such as enhanced reading and writing to portable flash drives, handheld electronics and POS terminals, requires a complete, USB-IF certified solution that is easy to use. To help enable the flexibility that this design approach demands, Microchip maintains scalability and reuse among it 8-, 16- and-32 bit USB MCU families, while continuously expanding its offerings—including the new capabilities of its free stack. This becomes critical as USB migrates into many new applications that were once the domain of simple serial communication ports, such as RS-232, SPI and I2C. USB OTG enables the intelligence for a product to determine whether it is required to function as a Host or Peripheral, and then configure itself accordingly without any user input. Additionally, the power-saving features inherent in OTG enable a wide range of portable designs. Applications such as enhanced reading and writing to portable flash drives, interfacing to wireless networks, and system updates are all enabled through Microchip’s complete, easy-to-implement USB software offering. In addition, several new capabilities have been incorporated, including Printer Host support for PCL5, Postscript and some POS printers, and Communications Driver Class (CDC) Host support for ACM subclass devices.
9/17/08 10:30:30 AM
Linear Technology Corporation introduces the LTC4099, an autonomous I2C controlled, high-efficiency power manager, ideal diode controller and Li-Ion/Polymer battery charger for portable USB-powered devices such as media players, digital cameras, PDAs, PNDs and smart phones. Parameters including input current limit, charge current, termination current and float voltage can be programmed via I2C. The I2C port also allows the user to read back system status information. The LTC4099’s switching PowerPath topology seamlessly manages power flow between a wall adapter or USB port and the device’s battery while preferentially providing power to the system load. For automotive, Firewire, or other high-voltage applications, the LTC4099 provides Bat-Track control of a Linear Technology companion switching regulator, maximizing battery charger efficiency and minimizing power dissipation. With companion chip input voltages ranging up to 38V (60V transients), the LTC4099 provides a seamless transition between USB and higher voltage power sources. The LTC4099 prevents damage caused by accidental application of high voltage on the USB input with an overvoltage protection (OVP) circuit—protection up to 68V requires only an external NFET/resistor combination. The LTC4099’s “instant-ON” operation ensures system load power at plug-in even with a dead battery. Its onboard ideal diode guarantees that ample power is always available to VOUT even if there is insufficient power at the LTC4099’s two input pins. The device’s ideal diode controller can be used to drive the gate of an optional PFET, reducing the impedance between the load and the battery to 30 mOhm or less. The LTC4099’s full-featured single-cell Li-Ion/Polymer battery charger allows the load current to exceed the current drawn from the USB port while conforming to USB load specifications. For fast charging, the IC’s switching input stage converts nearly all of the 2.5W available from the USB port to current, enabling up to 600 mA charge current or 700 mA system load current from a 500 mA limited USB port. There is also 1.5A charge current available when wall powered. For improved safety margin, an integrated over-temperature battery conditioning circuit can optionally reduce the battery voltage in case both high battery temperature and high battery voltage occur simultaneously. Further, the charger includes thermal limiting, automatic recharge, stand-alone operation with automatic charge termination and fixed duration safety timer, low voltage trickle charging, bad battery cell detection and a thermistor input for temperature-qualified charging. An additional feature of the LTC4099 is a suspend LDO that prevents battery drain when a device is connected to a suspended USB port. The LTC4099 is housed in an ultra-thin (0.55 mm) 20-pin 3 mm x 4 mm QFN package and is guaranteed for operation from -40° to 85°C. 1,000-piece pricing starts at $2.80 each.
Toshiba Launches 16 Gbyte microSDHC Toshiba Corp. (Toshiba) and Toshiba America Electronic Components, Inc. (TAEC), its subsidiary in the Americas, have launched a 16 Gbyte microSDHC2 card offering the largest capacity available in the market. At the same time, the company extended its range of memory card solutions by adding ultra fast read/write 8 Gbyte and 16 Gbyte SDHC cards to its line-up. All of the new SDHC memory cards comply with the SD memory standard Ver. 2.00, and the two new SDHC cards announced today support class 6 ultra fast read/write speed—a maximum write speed of 20 Mbytes per second, delivering high performance for continuous shooting of still images and recording video images. The new cards meet strong market demands for cards combining high capacities with high-speed data read and write. The new microSDHC can be used with an adapter that allows it to be used in SDHC slots. The microSDHC Memory Card features a 16 Gbyte capacity and can store 270 hours of music at a bit rate of 128 Kbits/s. It’s a “Class 2” SD Speed Class and integrates highly secure CPRM copyright technology. The SDHC Memory Card (Ultra High Speed Type) realizes a maximum write speed of 20 Mbytes per seconds. It is a “Class 6” SD Speed Class and integrates a highly secure CPRM copyright technology. Mass production of the new SDHC cards will start in December, with production of the new microSDHC slated to start in January 2009.
products for designers
I2C USB Power Manager & Li-Ion Charger Provide Digital Control & Status Readback
Toshiba America Electronic Components, Inc. San Jose, CA. (408) 526-2400. [www.toshiba.com/taec].
FREE PCB File Check!
Free DFM
More than a Design Rules check, FreeDFM.com checks PCB design files for issues that affect manufacturability and sends you a detailed FREE graphical report WITHIN MINUTES.
Linear Technology Corporation, Milpitas, CA. (408) 432-1900. [www.linear.com].
- Helps you avoid CAM holds and delays. - Sends you Check Plots, a PDF file of your final design.
Download Now at
www.FreeDFM.com freedfm.indd 1
JANUARY9/17/08 2009 10:30:57 41 AM
ceo interview Dr. James Truchard National Instruments
Along with Hewlett-Packard and Apple Computer, National Instruments (NI) is another “garage shop” that made the big time—in the process creating a whole new category of products. In 1976, Dr. James Truchard, Jeff Kodosky and Bill Nowlin got the bright idea to control test instruments using an Apple McIntosh. From the first prototype built in Dr. Truchard’s garage, their bare computer interface has grown into a “virtual instrumentation” industry. NI has done quite well for itself, posting 30 years of profitable growth in its 31-year hisexploration tory. In Q308 NI had record quarterly revenue er your goal of $215 million, up 17 percent year-over-year eak directly and net income of $23.2 million, up 7.5 percent page, the year-over-year. NI has over 25,000 customers resource. hnology, and more than 4,600 employees, with direct and products operations in nearly 40 countries. Not bad for a former garage shop. d NI now sells a wide range of PXI-based sensor and instrumentation modules; a programmable automation controller (CompactRIO); and their cornerstone LabVIEW software. They recently introduced NI LabVIEW 8.6 software, PXI Express 6.6 GHz RF instruments, Wi-Fi mpanies providing solutions now and Ethernet data acquisition hardware and NI oration into products, technologies and companies. Whether your goal is to research the latest datasheet from a company, RIO devices. to a company's technical page, the goal of Get Connected is to put you in touchSingle-Board with the right resource. Whichever level of Get Connected will help you connect with the companies and products you are searching for. NI is heavily promoting LabVIEW as a sysnected tem-level design environment for embedded control applications. Portable Design sat down recently with NI’s CEO Dr. James Truchard to inquire about virtual instrumentation and the use of LabVIEW in embedded applications.
End of Article Get Connected
with companies mentioned in this article. www.portabledesign.com/getconnected
42
PORTABLE DESIGN
Portable Design: What was it that inspired you to create ‘virtual instrumentation’ that required programming instead of standard plug-in-and-play hardware instrumentation? Truchard: We had seen how PCs were starting to create an alternative way to do business and financial analysis; so what we were looking
for was the tool or approach that would effectively do for our market in test and measurement what the PC had done for financial analysis. It was a time when people were thinking, ‘Well, maybe we can take this personal computer and use it to build an instrument’. Many companies tried, and most of them literally tried to build an instrument. Our approach was to create a development environment where you could build virtual instrumentation. In the end our development environment was also a programming language, so it had the power and flexibility to build very complex systems from a simple starting point. Portable Design: Does LabView supplement or compete with standard test equipment offerings from Tektronix, Agilent, Anritsu, Keithley, etc.? Truchard: Our company was started building GP IB interfaces that tied to all these traditional instruments, and this is still a very important part of our business to this day, working with Tektronix and all the other instrument vendors to automate instrumentation so that these benchtop instruments can now be used in automated systems and also automating data collection. Once we established that, we saw a need for a higher level tool, which became LabVIEW; and this tool not only let us work with these traditional instruments, but it also opened up an alternative way literally to build instrumentation right on the computer. By integrating data acquisition products with this we created an environment where you can very nimbly build what we like to call ‘user-defined instrumentation’ to do those specialty tasks that off-theshelf, fixed-function instrumentation couldn’t do. Portable Design: LabView goes well beyond automated data acquisition, test and instrument control, enabling users to design and implement custom IP for embedded designs. Just how does LabView integrate with other design tools? Truchard: One of the reasons we evolved LabVIEW into this role of embedded design is because LabVIEW by its very nature is a system-level design tool. As systems got more complex and needed a higher level of abstraction, a system-level design tool could simplify and hasten the design of new products. Recognizing that, a number of years ago we started to fill out the capabilities needed to be a systemlevel design tool, adding things like simulation, state charts, what we like to call ‘multiple mod-
els of computation’ that enable system-level descriptions of a problem. Once we did that we could now do embedded system design. We can do software defined radio for example, which is sort of a complementary technology to RF and communications test. We can also work with other tools as we demonstrated at the last NI Week, connecting a mechanical design-level CAD tool with LabVIEW for doing both the control and electronics parts of the design. By doing this we now can evolve LabVIEW beyond its original role for test and measurement. We’ve got some really exciting applications that we’ve done with LabVIEW using it as a system-level design tool. Portable Design: NI is placing a great deal of emphasis on ‘green engineering’. What role do you see test and measurement playing in environmental research and engineering? Truchard: Certainly green engineering is exciting in these challenging times. Everybody is very interested in supporting green engineering, and we have the technology and tools to make it work. We like to talk about how we can measure it with our test and measurement tools and then fix it with our design tools. As we look for new, cleaner energy sources like wind turbines, we’re working both as a measuring tool and a fix-it tool. For doing things like ocean energy, alternative fuels applications, creation of hydrogen and a variety of other areas, we can measure not only environmental impact but also other key measurements at the start of the design and then fix [problems] with our embedded design tools. Portable Design: Wearing another hat, you chair the Texas Science, Technology, Engineering, and Math (STEM) Industry Advisory Council, which addresses the declining interest and preparation of young people to pursue careers in technical fields. What’s the cause of the problem, and what can be done about it? Truchard: National Instruments and I personally have a lot of interest in this issue. I view it as critical to our success and to the continued success we enjoy here in this country. It’s a difficult problem, there are a lot of distractions—other fields that bright students can go into, perhaps some of them that aren’t as value added and don’t create things that we can export and create a good balance of trade. So we have taken it upon ourselves to embrace the STEM program as a way for us to be involved. We now have tools that work all
the way from seven-year-olds on to universities. We’re very active in working with Lego on the new product just announced called We Do, and also with Lego MindStorm, which is for 10 year olds. We can get kids excited about science and engineering and technology. We also work at the high school level with the first robotics program, where we can get teams around the country really engaged in math and science, with competition bringing together tens of thousands of folks to really get excited about science and engineering. So for us it’s a passion. It’s very important for us to do our part in helping keep this country strong by having a good source of talent in order to be competitive in the global market and to solve these very difficult problems of global warming and creating a better environment. Portable Design: What’s your take on the current state of the electronic test industry, and how do you see it changing over the next several years? Truchard: One of the major trends in test and measurement is the use of PXI and automated systems. Our PXI systems have been very successful by reducing the time to do tests by integrating in a significantly smaller footprint a complete test system with a variety of functions that were traditionally maybe done by multimeters, digitizers, waveform generators—all being integrated into one chassis. This substantially reduces cost; it reduces the test time needed; and it also creates more flexibility in the tests that can be done. So this approach, used with our software LabVIEW and virtual instrumentation, enables us to test new systems; do it much faster; and create alternatives for testing things that have never been tested before. By integrating elements like motion and vision alongside traditional test functions, we’re able to do things like testing cell phones, where we look at the display, operate the keyboards and integrate with the manufacturing process. So we’re very excited by the trend of the use of PXI and modular instrumentation. With our virtual implementation software we’re able to really revolutionize the way test and measurement is being done.
systems and we could provide general-purpose software to do this. Next we created the application development environment so we could go beyond simply hooking up and driving instruments to creating the application software that would be used for building the test system. Then we added measurement products, our data acquisition products and our modular instrumentation products so we can build a more complex system. Because LabVIEW is a system-level design tool, over the last few years we have been evolving it to a system design platform for embedded design—designing industrial systems, mechatronics, robotics and autonomous vehicles. All these applications are leveraging the fact that LabVIEW is a system-level design tool as well as a programming language that can compete with traditional approaches. So going forward we’ll be taking advantage of these new capabilities, working at a platform level where we can integrate both hardware and software to create a very quick design and also a design that’s very capable. We have a saying that we’re using to build on this new view, “We want to do for embedded what the PC did for the desktop.”
John Donovan – Editor-in-Chief Watch the video interview at: http://www.portabledesign.com/media. National Instruments Austin, TX. (888) 280-7645. [www.ni.com].
Portable Design: What’s your vision for National Instruments over the next 3-5 years? Truchard: National instruments started out interfacing computers to instruments. This was an important role; people wanted automated JANUARY 2009
43
editorial index
december 2007
january 2008
editorial letter
dave’s two cents
dave’s two cents
editorial letter
cover feature
cover feature
What can Engineers Do About Global Warming?....................4 Numbers… A Gift That Keeps on Giving!...............................7 Portable Handsets - Small, Thin and “Cool”..........................16 John East, Actel Corporation
Powering a World of Plenty....................................................17 Stephen Bailey, Mentor Graphics
Consumer Electronics: The Road Ahead................................18 Steve Leibson, Tensilica, Inc.
Hard Drive vs. Flash: Competing or Merging Technologies?.........................................................................19 Amit Nanda, Cypress Semiconductor
wireless communications
How Much Can You Do In Software? A Multi-Standard Radio Base Station..................................................................20 John Chapin, Vanu Inc.
Waveform Portability and Reuse............................................24 Jerry Bickle, PrismTech Corporation
portable power
High-Power Batteries and Chargers.......................................34 Jeffrey VanZwol, Micro Power Electronics
Printed and Thin-Film Batteries.............................................38 Lawrence Gasman, NanoMarkets, LC
second opinion
Designing Energy-Efficient Consumer Electronics................48 Devadas Varma, Calypto Design Systems
ceo interview
Sanjay Srivastava, Denali.......................................................50
44
PORTABLE DESIGN
My Forecasts for 2008..............................................................5 Leaving Las Vegas....................................................................6 Dealing with the Limitations of Flash Memory.....................16 Xerxes Wania and Steven Cliadakis, Sidense
wireless communications
Mobile WiMax: How to Make Mobile WiMax Consumer Devices a Reality....................................................................20 Frank Ferro, Sonics, Inc.
consumer electronics
Applying an Integrated Approach to Mixed-Signal SoC Verification..............................................................................24 Karen Chow, Mentor Graphics Corp.
portable power
Extend Battery Life Using Programmable Clock Technology..............................................................................30 Greg Richmond, SpectraLinear, Inc.
Lower Supply Voltages Enable Low-Power Portable Electronic Devices..................................................................34 Aditya Rao, Microchip Technology, Inc.
ceo interview
Ray Zinn,Micrel......................................................................48
march 2008
editorial letter
editorial letter
dave’s two cents
dave’s two cents
cover feature
cover feature
Standards Wars..........................................................................5 Living with World Wide Wi-Fi.................................................6 Multicore Design for the Next-Generation “Kings of Cool”......................................................................16 Ian Rickards, ARM
Solve Portable Design Problems Using Convenient Concurrency............................................................................22 Grant Martin and Steve Leibson, Tensilica
Good Embedded Communications Is the Key to Multicore Hardware Design Success.......................................................28 David Lautzenheiser, Silistix
consumer electronics
Optimizing Video Encoders with Digital SignaL Processors...............................................................................34 Ajit Rao, Texas Instruments
portable power
Architectural Issues for Power Gating...................................38 Michael Keating, Synopsys; David Flynn and Robert Aitken, ARM; Alan Gibbons and Kaijian Shi, Synopsys
ceo interview
Mark Thompson, Fairchild Semiconductor............................48
Innovation In Portable Design..................................................5 Attic Adventures and Digital Design........................................6 Putting Intelligence in “Bricks”..............................................16 Fred Frantz, L-3 Communications
Next-Generation Design Issues in Communications..............20 Bruce Fette Ph.D, General Dynamics C4 Systems; Mieczyslaw M. Kokar, Northeastern Univ.; Mark Cummings, enVia
wireless communications
Isolated Mode Antenna Technology.......................................26 Frank M. Caimi Ph.D, Mark Montgomery, and Paul Tornatta, SkyCross
consumer electronics
Verification Management: The Path of Evolution..................34 Rahul V. Shah, Sibridge Technologies and Darron May, Mentor Graphics
portable power
Processor Energy Savings Through Adaptive Voltage Scaling.....................................................................................38 Mark Hartman, National Semiconductor
technology focus
FPGA Prototyping Speeds Development of Consumer Electronics...............................................................................42 Andy Haines, Synplicity
second opinion
Putting the Touch in Portable Functions................................50 John Feland, Synaptics
ceo interview
Carl Schlachte, ARC International.........................................52
JANUARY 2009
45
editorial index
february 2008
editorial index
april 2008
may 2008
editorial letter
editorial letter
dave’s two cents
dave’s two cents
cover feature
cover feature
To be or Not to B......................................................................5 Generation Green......................................................................6 CMOS Power Amplifier Technology-The Next Step Toward the Single-Chip Cell Phone....................................................16 Donald McClymont, Axiom Microdevices, Inc.
wireless communications
Characterizing and Troubleshooting Digital RF Amplifier Systems...................................................................................20 Darren McCarthy, Tektronix, Inc.
consumer electronics
Spectrum Politics......................................................................5 Straight Talk..............................................................................6 Hardware Software Co-Design Accelerates Development of Smart Wireless Devices..........................................................18 Matti Kattilakoski, Navicron
Model-Based Design Accelerates Functional Verification.....22 David Lidrbauch, The MathWorks
wireless communications
Developing Highly Integrated Solutions to Meet the Changing Demand of Multi-Mode Devices...........................26 Duncan Pilgrim, Sequoia
Mobile TV: 2008 Outlook......................................................24 Yannick Levy, DIBcom
portable power
Advanced Dynamic Voltage Scaling via VSEL, One-Pin Easy Scale or I2C Interface.............................................................28 Alexander Friebe, Texas Instruments
second opinion
Why GPS Will Change the Face of Consumer Electronics Years Earlier than Expected....................................................38 Peter Gammel, SiGe Semiconductors
portable power
Backlight LED Driver System in Small Format Liquid Crystal Displays......................................................................30 Dario Nurnad, National Semiconductor
technology focus
Defining the Display Technology Needs of the Future..........36 Jim Cathey, Qualcomm MEMS Technologies, Inc.
second opinion
CTO Interview........................................................................46 Lisa Su, Freescale Semiconductors
ceo interview
Tom Hart, QuickLogic............................................................40
46
PORTABLE DESIGN
ceo interview
John East, Actel......................................................................48
july 2008
editorial letter
editorial letter
dave’s two cents
dave’s two cents
cover feature
cover feature
How Do You Talk to Your Phone?...........................................5 Simple to Use Is Simple to Start..............................................6 High-Speed Video Bus Battle in Portable Designs................16 Falk Alicke, Texas Instruments
wireless communications
Mobile Application Environments for Software Radio..........22 Jeffrey H. Reed, Virginia Tech
consumer electronics
Active Noise Cancellation Comes to Mobile Phones............30 David Monteith, Wolfson Microelectronics
portable power
Unified Power Format to Simplify Low-Power Design Flows.......................................................................................34 Arvind Narayanan, Magma Design
technology focus
High-Efficiency Audio Designs for Portable Devices............38 Henry Kwok, National Semiconductor Corporation
second opinion
Mobile Phones: A Flash Mainstay?........................................50
Radio Sport...............................................................................5 Hearing is Not Understanding..................................................6 MRAM—The Future of Non-Volatile Memory?...................16 David Bondurant, Brad Engel and Jon Slaughter, EverSpin Technologies, Inc.
wireless communications
RF Receiver Front-End Topologies for Software Radios......22 Jeffrey H. Reed, Virginia Tech
consumer electronics
Reducing EMI in Digital Systems through Spread Spectrum Clock Generators....................................................28 Travis Linton, Cypress Semiconductor Corp.
portable power
The Role of Digital Power in Portable Applications..............32 Dave Freeman, Texas Instruments
Smart Battery Management Considerations for Portable Applications............................................................................36 Ravi Pragasam, Actel Corporation
ceo interview
Steve Sanghi, MicroChip........................................................48
Jim Handy, Objective Analysis and Tom Coughlin, Coughlin Associates
ceo interview
Wally Rhines, Mentor Graphics........................................... 52
JANUARY 2009
47
editorial index
june 2008
editorial index
august 2008
september 2008
editorial letter
editorial letter
dave’s two cents
analyize this
The Wireless Revolution...........................................................5 The “Digital-Dumb” Generation..............................................6
Wireless Where It Matters........................................................5 Mobile Internet Devices on the Horizon................................10 Will Strauss, Forward Concepts
cover feature
DSPs vs. FPGAs: Is There a Superior Choice for Portable Design.....................................................................................16 David Coode, ON Semiconductor
Choosing the Right Central Logic Device for Your Product Design.....................................................................................20 Amit Kapadiya, Nuvation
wireless communications
RF Power Measurement Techniques for Portable Systems....24 Vladimir Dvorkin, Andy Mo and James Wong, Linear Technology Corporation
consumer electronics
Use of Integrated Optical Proximity Sensors in MultiFunction Smart Phones...........................................................30 Andreas Vogler, OSRAM Opto Semiconductors
portable power
Vibration Energy Endows Ambient Intelligence in Sensor Networks.................................................................................34 Dan Shepard, AdaptivEnergy
technology focus
The Evolution of Touchscreens in Portable Consumer Electronics...............................................................................38 Darrin Vallis, Cypress Semiconductor
ceo interview
Dan McCranie Virage Logic...................................................52
48
PORTABLE DESIGN
cover feature
Voice-over-Wi-Fi Implementation with Single Stream 802.11n....................................................................................16 Narasimhan Venkatesh, Peddi Indukuri and Subba Reddy, Redpine Signals
wireless communications
Near Field Communications...................................................22 Steve Rackley
portable power
Auto-Zero Op Amps: Inherent Benefits in Portable SignalConditioning Applications......................................................28 Kevin Tretter, Microchip
ceo interview
Necip Sayiner, Silicon Labs...................................................44
oct./nov. 2008 editorial letter
Wi-Fi on Amphetamines...........................................................5
analyize this
Calling All Designers: Time to Get Creative With Cellular Functionality Techniques........................................................10 Joseph Byrne, The Lingly Group
special section
Consumer Technology and Low-Power Design: Inseparable Forces......................................................................................16 Susan Runowicz-Smith, Cadence Design Systems
Open UPF/IEEEp1801 Standard Roadmap............................20 John Biggs, ARM,Ltd; Gary Delp, LSI; Steve Baily, Mentor Graphics; Kevin Kranen, Synopsys; Rolf Lagerquist and Minh Chau, Texas instruments
Reducing Power in Video Intensive Portable Applications....26 Mitch Dale, Calypto
Optimize Power Consumption in Portable Electronics Using Integrated Load.......................................................................30
HiFi22 XtensaHiFi PowerXtensa LowPower Low
Philippe Pichot, Texas Instruments
Switches Non-Volatile Memory Options in Portable Designs....................................................................................34
Audio DSP Core for SOCs
Craig Zajac, Virage Logic Corporation
• Runs over 30 audio and speech encoders and decoders – available today • Runs audio and control on same processor • Optimized for long battery life • 5.7 MHz MP3 decode helps make 100-hour playback possible
wireless communications
Maximize Range in Mobile Handsets with CMOS-onSapphire RF Switches.............................................................38 Dylan J. Kelly, Peregrine Semiconductor Corporation
Not Just a Mathmatical Symbol: A Deeper Look at the “+” of HSPA+....................................................................................42
Sound good? Call us for a demo, 408-986-8000. Download a free white paper: www.tensilica.com/audiowhitepaper.pdf
Ziad Asghar, Texas Instruments
ceo interview
Warren East, ARM..................................................................56
Prefer SNAIL MAIL? No problem. Name Company Address City State Zip Phone Email I want a demo of the Xtensa HiFi 2 Audio Engine I want to receive your white paper Tensilica Inc. 3255-6 Scott Blvd. Santa Clara, CA 95054 Tel: 408-986-8000 Fax: 408-986-8919 www.tensilica.com Email: toni@tensilica.com
Untitled-2 1
7/30/08 4:20:05 JANUARY 2009 49 PM
The RTC Group is a media services company specializing in bringing companies and their products to a focused group of electronic and computer manufacturers. RTC is proud of its track record of blazing new trails in search of marketing value for our clients. Portable Design magazine is the newest addition to RTC Group’s collection of publications.
event calendar 01/29/09
Real-Time & Embedded Computing Conference Santa Clara, CA www.rtecc.com/santaclara2009
advertiser index Advanced Circuits www.freedfm.com
39, 40, 41
Altera Corporation www.altera.com
19
Linx Technologies, Inc www.linxtechnologies.com
39, 40
02/02-04/09
Internet Telephony Conference & Expo Miami Beach, FL
www.tmcnet.com
Maxim Integrated Products www.maxim-ic.com Mentor Graphics www.mentor.com
02/02-05/09
DesignCon Santa Clara, CA
23
2
www.designcon.com Microchip Technology, Inc. www.microchip.com/16bit
02/11-13/09
13
West 2009 San Diego, CA
www.afcea.org
02/24/09
Real-Time & Embedded Computing Conference Huntsville, AL
www.rtecc.com
02/25-27/09
AUSA Winter Symp & Exposition Ft. Lauderdale, FL
www.ausa.org
02/26/09
Real-Time & Embedded Computing Conference Melbourne, FL
www.rtecc.com
03/03-05/09
Embedded World 2009 Nuremberg, Germany www.embedded-world.de 03/16-18/09
Multicore Expo Santa Clara, CA www.multicore-expo.com If you wish to have your industry event listed, contact Sally Bixby with The RTC Group at sallyb@rtcgroup.com
50
PORTABLE DESIGN
Mouser Electronic www.mouser.com
25, 52
PDCE www.portabledesignconference.com
21
Real-Time & Embedded Computing Conference www.rtecc.com
31
Rogers Corporation www.realporon.com
51
Tensilica www.tensilica.com
49
Are Your Designs At Risk? Don't be fooled by imitation material. The performance of real PORON® Urethanes can't be matched.
One of the challenges being faced in today's handheld design market is the risk of inferior materials being used as a replacement for high quality, high performance products.
CONSIDER THE RISKS INVOLVED WITH IMITATION MATERIAL Potential Design Failure Products can fail due to poor heat resistance, poor compression set resistance and high outgassing found in low quality substitute material. Tarnished Reputation When products fail, the device manufacturers and material converters put their reputations at risk.
PORON® URETHANES FILL THE GAP IN HANDHELD DESIGN APPLICATIONS
SUCCESS RATE
One of the most DUST SEAL PERFORMANCE important gasket Percentage Passing After 4 Weeks functions in hand100 held devices is 80 to seal out harmful 60 40 dust and particles. 20 The sealing materi0 al’s ability to PORON® Urethane Imitation 1.0 mm Thick Gaskets maintain long-term performance is Safeguard your critical designs with the performance essential. Because outstanding dust sealing of PORON® Urethanes of its high compression set resistance, PORON® Urethanes bounce back so that gaskets hold their shape and seal for prolonged periods, effectively blocking contaminants and extending product life. The result is LCD displays stay crisp and clear. The Rogers logo and PORON are licensed trademarks of Rogers Corporation.
The minimal outgassing characteristics of PORON® Urethanes contribute to reduced LCD fogging for improved visibility and clarity over the life of the product. Durability is key to the service life of handheld products. Unlike counterfeit materials, real PORON® Urethanes retain their physical and mechanical properties, including excellent energy absorption, and dimensional stability, even at elevated temperatures. PERCENT THICKNESS RETAINED AT HIGH TEMPERATURES PERCENTAGE THICKNESS RETAINED
Rogers Corporation, the manufacturer of PORON® Urethane for more than 25 years, and its joint venture Rogers Inoac Corp (RIC), have recently seen an increase in imitation material in the marketplace. This has resulted in products that don’t meet high quality standards and specifications that can lead to product failures.
70ºC
90ºC
100 80
60 40 20
0 PORON® Urethane A
PORON® Urethane B
Imitation
PORON® Urethanes maintain superior compression set resistance at elevated temperatures for a prolonged period of time, versus imitation materials
The unique microcellular structure of PORON® Urethanes contributes to fabrication ease and design stability. Die cuts are clean with never a crushed edge, making intricate jobs a production reality. Real PORON® Urethanes from Rogers Corporation and RIC are high quality, high performance materials specified worldwide for gasketing, sealing and energy absorption applications. For more information on how PORON® Urethanes help to eliminate risks, or to order a free copy of our ELECTRONICS DESIGN SOLUTIONS BROCHURE, visit our website
www.realporon.com.
The Newest
Wireless Solutions New Products from:
ZigBee
®
RFID
RCM4510W ZigBee®/802.15.4 RabbitCore® Module www.mouser.com/ rabbitsemi/a
Bluetooth™ GPS WLAN
RF Front End Range Extender: CC2590 www.mouser.com/tirfif/a
Cellular ISM
XDM2140 Mesh RF Transceiver Modules www.mouser.com/rfm/a
The ONLY New Catalog Every 90 Days Experience Mouser’s time-to-market advantage with no minimums and same-day shipping of the newest products from more than 366 leading suppliers.
MRF24J40MA Radio-Frequency Transceiver Module www.mouser.com/microchip/a
The Newest Products For Your Newest Designs
www.mouser.com Over A Million Products Online
(800) 346-6873