ch7

Page 1

9/2018 (c. 6)

Дмитрий Боднарь: российская микроэлектроника хронически больна бюджето­ зависимостью

(c. 34)

Как расширить возможности промышленного стандарта Ethernet для использования в сетях TSN

(c. 66)

Антипарал­лельные диоды Шоттки с ключами SiC MOSFET улучшают характеристики приложения


РЕКЛАМА


РЕКЛАМА


РЕКЛАМА


содержание ЭК

№9/2018 РЫНОК 6 Дмитрий Боднарь О некоторых болезнях российской микроэлектроники

ТОПОЛОГИЯ ПЕЧАТНЫХ ПЛАТ 11 Чжан Фэй Йе Влияние нарушений непрерывности цепи обратного тока на высокоскоростные сигналы

18 Юрий Сенякин Нарушение целостности сигнала из-за неиспользуемых сегментов переходных отверстий 22 Кристиан Келлер Обзор трассировки электронных компонентов в корпусах BGA

24 Борис Старовойтов Обновленная и улучшенная технология Bluetooth 5 для IoT

СЕТИ И ИНТЕРФЕЙСЫ 30 Филипп Уиссел Согласование LVPECL-схем 34 Михаил Бобров Использование Ethernet в критичных к задержкам сетях

ИСТОЧНИКИ И МОДУЛИ ПИТАНИЯ 37 Вячеслав Любимов Синхронные выпрямители 42 Олег Разумовский Безындуктивные DC/DC-преобразователи

журнал для разработчиков

14 Сергей Краснов Согласование и трассировка сигналов в сквозной топологии памяти DDR3/4

БЕСПРОВОДНЫЕ ТЕХНОЛОГИИ

www. elcp.ru

редакционная коллегия: Владимир Фомичёв; Леонид Чанов; реклама: Антон Денисов; Елена Живова; распрост­ра­нение и подпис­ка: Марина Панова, Василий Рябишников; директор издательства: Михаил Симаков Адрес издательства: Москва,115114, ул. Дербеневская, д. 1, п/я 35, тел.: (495) 741-7701; факс: (495) 741-7702; эл. почта: info@elcp.ru, www.elcp.ru ПРЕДСТАВИТЕЛЬСТВА: Мир электроники (Самара): 443080, г. Самара, ул. Революционная, 70, литер 1; тел./факс: (846) 267-3139, 267-3140; е-mail: info@eworld.ru, www.eworld.ru. Радиоэлектроника: 620107, г. Екатеринбург, ул. Гражданская, д. 2, тел./факс: (343) 370-33-84, 370-21-69, 370-19-99; е-mail: info@radioel.ru, www.radioel.ru. ЭЛКОМ (Ижевск): г. Ижевск, ул. Ленина, 38, офис 16, тел./факс: (3412) 78-27-52, е-mail: office@elcom.udmlink.ru, www.elcompany.ru. ЭЛКОТЕЛ (Новосибирск): г. Новосибирск, м/р-н Горский, 61; тел./факс: (3832) 51-56-99, 59-93-31; е-mail: info@elcotel.ru, www.elcotel.ru. Издательство «Электроника инфо»: 220015, Республика Беларусь, г. Минск, пр. Пушкина 29Б. Teл./факс: +375 (17) 204-40-00. E-mail:electronica@nsys.by, www.electronica.by. Журнал включен в Реферативный журнал и Базы данных ВНИТИ. Сведения о журнале ежегодно публикуются в международной справочной системе по пе­риодическим и продолжающимся изданиям «Ulrich’s Periodicals Directory». Ис­поль­зо­ва­ние ма­те­ри­а­лов воз­мож­но толь­ко с со­гла­сия ре­дак­ции. При пе­ре­ пе­чат­ке ма­те­ри­а­лов ссыл­ка на жур­нал «Эле­к­трон­ные ком­по­нен­ты» обя­за­тель­на. От­вет­ст­вен­ность за до­сто­вер­ность ин­фор­ма­ции в рек­лам­ных объ­яв­ле­ни­ях не­сут рек­ла­мо­да­те­ли. Индекс для России и стран СНГ по каталогу агентства «Роспечать» — 47298, индекс для России и стран СНГ по объединенному катало­ гу «Пресса России. Российские и зарубежные газеты и журналы» — 39459. Свободная цена. Издание зарегистрировано в Комитете РФ по пе­ чати. ПИ №77-17143. Издание зарегистрировано на Украине, свидетельство о государственной регистрации КВ№17602-6452 ПР. Подписано в печать 06.09.2018 г. Учредитель: ООО «ИД Электроника». Тираж 6000 экз. Отпечатано в типографии «Принт24» 192102, Санкт-Петербург, ул. Самойловой, д. 5, литер В

электронные компоненты

Руководитель направления «Разработка электроники» и главный редактор Леонид Чанов редакторы: Владимир Фомичёв; Леонид Чанов;


РЕКЛАМА

45 Робин Бенас Проектирование обратноходового преобразователя для работы в режиме прерывистых токов

содержание

АЦП И ЦАП

4

52 Михаил Сизов, Владимир Клепиков, Николай Захаров, Дмитрий Подхватилин Микросхемы АЦП с ФАПЧ на БМК

ДАТЧИКИ 56 Николай Садыков USB-датчики мощности для статистических измерений

ГЕНЕРАТОРЫ, ТАЙМЕРЫ И СИНТЕЗАТОРЫ СИГНАЛОВ 60 Грэхем Мостин Проектирование систем автомобильной электроники с использованием синхронизирующих МЭМС-устройств

ДИСКРЕТНЫЕ СИЛОВЫЕ КОМПОНЕНТЫ 66 Цунин Чжан Выбор антипараллельных диодов для минимизации потерь SiC MOSFET

www.elcomdesign.ru

МИКРОКОНТРОЛЛЕРЫ И МИКРОПРОЦЕССОРЫ 72 Дмитрий Матюнин Многопроцессорная система с общей памятью на отечественных сигнальных процессорах 76 Сергей Мушкаев, Андрей Бродяженко, Александр Болотников Вычислительные ресурсы процессоров NeuroMatrix с плавающей точкой в задачах обработки больших потоков данных 84 Евгений Говоров Микроконтроллеры S32V232 и S32V234 от NXP для сбора и обработки видеосигналов

ПАССИВНЫЕ КОМПОНЕНТЫ 90 Штефан Кляйн Фильтр электромагнитной совместимости для оптимизации импульсных DC/DC-контроллеров

СПРАВОЧНЫЕ СТРАНИЦЫ 93 Новинки месяца. Редакционный обзор


contents # 9 / 2 0 1 8 E L E C T R O N I C CO M P O N E N T S #9 / 2018 MARKET 6 Dmitry Bodnar Some Diseases of Russian Microelectronics

PCB LAYOUT 11 Chang Fei Yee Impact of Return Path on High Speed Signaling During PCB Layer Transition 14 Sergey Krasnov DDR3/4 Fly-by Topology Termination and Routing 18 Yury Senyakin The Signal Integrity Perils of Power Via Stubs 22 Christian Keller BGA Fanout Routing Overview

WIRELESS 24 Boris Starovoitov Bluetooth 5, Refined for the IoT

30 Phillip Wissell LVPECL Terminations – A Circuit Approach 34 Mikhail Bobrov What’s the Difference between Ethernet and Time-Sensitive Networking?

POWER SUPPLIES 37 Vyacheslav Lubimov Synchronous Rectification 42 Oleg Razumovsky Non-inductive DC/DC Converters 45 Robin Benas Discontinuous-mode Flyback Transformer Design – Journey from Specification to Finished Design

52 Mikhail Sizov, Vladimir Klepikov, Nickolay Zakharov and Dmitry Podhvatilin IC ADC with PLL on Array Chips

SENSORS 56 Nickolay Sadykov USB Power Sensors for Statistical Measurements of DC/DC Controllers

OSCILLATORS, TIMERS and WAVEFORM SYNTHESIZERS 60 Graham Mostyn Meeting Advanced Automotive Design Challenges with MEMS-Based Timing Devices

DISCRETE POWER 66 Xuning Zhang Selecting a Freewheeling Diode Solution for Lowest Losses with SiC MOSFETs

MCU and MPU 72 Dmitry Matunin Multiprocessing Systems with Shared Memory on Domestic Signal Processors 76 Sergey Mushkaev, Andrey Brodyazhenko and Alexander Bolotnikov NeuroMatrix Floating-point Computing Resources for Processing Large Data Streams 84 Yevgeny Govorov S32V232 and S32V234 MCU from NXP for Video Capturing and Processing

PASSIVE 90 Stefan Klein EMC Filter for DC/DC Switching Controller Optimized

REFERENCE PAGES 93 Newly-Designed Products. Monthly Editorial Review

электронные компоненты  №9 2018

содержание

NETWORKS and INTERFACES

ADC and DAC

5


О некоторых болезнях российской микроэлектроники

Российской микроэлектронике никак не удается перейти к рыночным отношениям. Более того, экономическая политика государства все больше отдаляет их от этого перехода и усиливает болезни отрасли. В то же время в стране имеются частные компании, организованные по современным требованиям и готовые соответствовать рыночным отношениям.

рынок

Дмитрий Боднарь, к.т.н., генеральный директор, АО «Синтез Микроэлектроника»

6

За свою довольно продолжительную работу в сфере микроэлектроники СССР и России мне довелось посетить большинство полупрово дниковых предприятий и много приборостроительных компаний страны, а также десятки зарубежных компаний США, Европы, Азии. В числе последних были торговые компании, дизайнцентры, кремниевые и сборочные фабрики, производители ЭКБ и аппаратуры, полупроводникового оборудования и т. д. Приходилось знакомиться и оценивать работу этих компаний под разными углами – с рыночной, инженерной, производственной, кадровой точек зрения. Большинство зарубежных компаний были частными, но среди них, например в Китае, были и гос ударс твенные. Объемы продаж этих компаний значительно различались в пределах от миллионов до миллиардов долларов США. У большинс тва российских предприятий идентичные цифры оборотов измерялись не в долларах, а в российских рублях, т. е. в эквиваленте, который в десятки–сотни раз меньше. При этом численность персонала сопоставимых российских предприятий превышает зарубежную. А это означает, что производительность труда российских компаний в десятки раз ниже, чем иностранных.

www.elcomdesign.ru

Даже в стабильных условиях нашим предприятиям непросто адаптироваться к рынку. А в условиях постоянных валютных «качелей», девальваций, политической и правовой непредсказуемости это становится трудной задачей. При очень слабом российском рынке микроэлектроники, который в большой мере зависит от государства, многим частным предприятиям приходится подстраиваться под особенности этого государственного мини-рынка или пытаться его формировать самостоятельно. Но последнее удается единицам, и они вместе с компаниями, способными адаптироваться, выигрывают. Такие примеры единичные, но они есть. В этой статье автор рассматривает некоторые болезни российской микроэлектроники, ее компаний (в т. ч. частных) и положительные примеры адаптированных предприятий. Об основных проблемах и болезнях российской экономики и финансируемой из бюджета микроэлектроники – гиперзависимости от цен на нефть и милитаризованности – написано много, в т. ч. автором этой статьи. Вторая проблема отечественной отрасли электроники – очень малый объем рынка в 2–2,5 млрд долл. (около 0,5% от мирового рынка), который четко коррелирует с ценой нефти, также уже многократно описана. Поэтому мы рас-

смотрим и некоторые другие болезни отечественной отрасли. Увлечение капитализацией без рыночной динамики продукции

Как правило, в условиях рынка реальная капитализация – один из показателей, зависящих от эффективности работы компании, который хорошо иллюстрируется примером «Газпрома». До 2008 г. капитализация «Газпрома» хорошо росла за счет увеличения поставок газа в Европу, другие страны и в России. В мае 2008 г. капитализация «Газпрома» составляла 364,8 млрд долл. Тогдашний президент России Дмитрий Медведев и председатель правления «Газпрома» Алексей Миллер даже прогнозировали, что через 7–8 лет капитализация компании достигнет 1 трлн долл. Превращение «Газпрома» в инструмент внешнеэкономического и внешнеполитического давления РФ, введение европейской энергетической хартии, постоянные конфликты и судебные разбирательства с Украиной, дилетантские подходы «Газпрома» к технологии сланцевой добычи газа и т. д. привели к тому, что в декабре 2017 г. капитализация «Газпрома» вместо 1 трлн долл. составляла всего 53,5 млрд долл. Таким образом, вместо трехкратного роста произошло почти 7‑кратное падение. Обычно


жей новых товаров и услуг. В противном случае компании проваливаются. Нечто подобное и происходит с «Ситрониксом» за исключением того, что это потребовало больше времени, чем за рубежом. Завод «Микрон» также может пройти подобный путь, если не наполнит свой портфель конкурентной, в т. ч. экспортной продукцией. Опора только на бюджетную поддержку не бесконечна. Адаптация к рынку. Российским предприятиям нужна удочка или рыба?

В августе текущего года в новостях информационных ресурсов по микроэлектронике прошла новость, что доля военной электроники в продукции «Ангстрема» приблизилась к 100%. В 2016 г. у «Ангстрема» доля военной продукции составляла 82,7%, а по итогам 2017 г. – 95,5%. У большинства российских микроэлектронных компаний доля военной продукции также близка к этому показателю. Кроме того, оставшиеся 3–5% приходятся на гражданскую продукцию и услуги, конкурентоспособность которых очень мала на открытом российском рынке. И катастрофически не хватает продукции, ориентированной на экспорт и способной конк урировать с зарубежной на рынках других стран. А ведь 25–30 лет назад «Ангстрем» был основной советской и российской компанией, экспортирующей интегральные микросхемы. Затем еще до появления на «Микроне» новой производственной линии «Ангстрем» уступил свои позиции «Микрону», а в настоящее время обе компании не могут конкурировать с китайцами. И если прежде их слабые экспортные позиции оправдывались нашими отс та лыми технологиями и низкой заработной платой в Китае, то теперь «Микрон» и «Ангстрем-Т» обладают современными технологиями, при том, что зарплата в России ниже, чем в Китае. Однако это не помогает выиграть конкурентную борьбу не только с европейцами и американцами, но и с китайцами. А все потому, что наши предприятия не обладают конкурентной продукцией и эффективностью. Именно поэтому в секторах экспортной и гражданской продукции, где есть конкуренция, мы проигрываем все больше. Единственным сектором, где эти параметры не главные, является военная продукция для министерства обороны. Поэтому ее доля в объемах производства российских предприятий растет и доходит до 100%, а эффективность и конкурентоспособность падают. Все до поры до времени компенсируется монопольно высоки-

ми ценами. Положение не спасут даже призывы и дирек тивы президента и премьер-министра увеличивать долю гражданской продукции. Пример двух наших главных производителей показывает, что вначале следует создать конкурентоспособную на внутреннем и внешнем рынках продукцию и поднять эффективность работы компаний. Без этого мы будем слышать пустые лозунги и липовые отчеты, как это было с импортозамещением. В точности то же самое мы наблюдаем с конкурентоспособностью и эффективностью всей экономики страны, инфицированной нефтяной зависимостью. И напрасно кто-то думает, что эффективность работы «Газпрома» можно поднять доступом к санкционным технологиям. Такие болезни витаминами не лечатся – требуется хирургическое вмешательство. Самое интересное, что рекламируемый рост наших возможностей по экспорту вооружений также вызывает вопросы. В 2018 г. Индия вышла из совместного с Россией проекта FGFA (Fifth Generation Fighter Aircraft) по созданию на основе СУ‑57 первого индийского истребителя пятого поколения. Индия считает, что боевая авионика, радары и датчики российской разработки не соответствуют стандартам боевого самолета пятого поколения (!!!). Собственно говоря, индийская сторона констатировала то, что и так было понятно российским специалистам. Но у нас об этом ник то не рискнул сказать вслух. Так что приходится признать, что в настоящее время качество военных и космических изделий – уже не эталон, что подтверждают регулярные аварии с ракетами «Роскосмоса». Наличие гос­ оборонзаказа также не гарантирует рост качества, а только способствует освоению бюджетных средств. Текущая ситуация с ростом доли в о е н н о й п р о д у к ц и и оч е н ь н а п о минает преддефолтный период 1997–1998 гг. Тогда на предприятиях полупроводниковой микроэлектроники доля военной продукции также доходила до 100%, но объемы производства были значительно ниже из-за отсутствия государственных заказов. В основном, они поддерживались экспортом вооружений. Возглавив в 1998 г. направление маркетинга и продаж на одном из отечественных полупроводниковых предприятий, автор получил в наследство долю военной продукции 96%. Однако в течение четырех лет нам удалось добиться очень хорошего соотношения в 65%:35% военной и гражданской продукции, чему способствовали не только дефолт 1998 г., но и системная работа

электронные компоненты  №9 2018

рынок

за рубежом после первых же провалов и скандалов было бы заменено руководство компании. Но, несмотря на порази­ тельную неэффективность «Газпрома» (исходя из его потенциальных возможностей), Алексей Миллер по-прежнему остается у руля компании. То же самое происходит и с некоторыми отечественными микроэлектронными компаниями. В 2005–2006 гг. концерн «Ситроникс» (в который входил наш лидер микроэлектроники – завод «Микрон») обозначил главной своей целью выход на IPO на лондонской бирже. В 2000‑е гг. концерн «Ситроникс» скупал новые активы и расширял сферы деятельности. Руководство компании представляло ее иностранным партнерам как первую российскую Hi-Tech компанию, капитализация которой превысила 1 млрд долл. Однако IPO на лондонской бирже не дало ожидаемых результатов, и концерн не смог дос тигну ть безу быточнос ти да же с учетом своего главного актива – компании МТС. Затем «Ситроникс» начал менять топ-менеджмент, избавляться от своих активов, а контрольный пакет акций отошел к принадлежащему АФК «Система» холдингу РТИ. Туда же вошел и завод «Микрон». К настоящему времени от прежнего «Ситроникса» мало что осталось, и его основным профилем являются интеллектуальные транспортные системы, комплексные системы безопасности и т. д. Гл а в н ы м и п р ич и н а м и п р о в а л а «Ситроникса» являются: -- излишнее увлечение консолидацией и скупкой активов для повышения капитализации, ставшее главной целью компании; -- отс у тс твие главного с тержня любой современной рыночной компании – реальной и динамичной продажи своей продукции в России и за рубежом; концерн многократно менял свою философию и политику, но, даже имея солидную поддержку в верхних эшелонах власти, так и не определил способы реализации своей миссии. Не имея опоры на рынок продаж реальной конкурентной продукции, «Ситрониксу» не удалось даже «надуть пузырь» капитализации компании. Благополучие концерна долго опиралось только на единственное конкурентное рыночное направление деятельности – оператора мобильной связи МТС. Зарубежные компании также стараются повысить капитализацию, в т. ч. спекулятивными методами за счет покупки новых активов, но эта деятельность сопровождается не только формальной бумажной активностью, но и прода-

7


рынок

8

по увеличению выпуска гражданской продукции и ИЭТ. В настоящее время на этом же предприятии доля военной продукции равна ангстремовской доле. Большинство наших предприятий не пытается и не способно работать в условиях открытого конкурентного рынка. Как и большая часть населения, они живут за счет бюджетного «подкачивания», не особенно заботясь о создании и выводе на рынок новой конкурентной гражданской продукции. В таких условиях бессмысленно говорить о выпуске не только экспортно-ориентированной, но и импортозамещающей продукции. Об этом автору приходилось уже писать неоднократно. И если государственные предприятия всегда были подвержены этой болезненной зависимости от бюджета, то в последние 5–10 лет ею заразились и частные предприятия отечественной микроэлектроники. Государство выделяет большие средства на НИОКР, импортозамещение, воспроизводство иностранных аналогов, новые технологии и т. д., т. е. на те сферы, где не требуется конкурировать и бороться за эффективность. Тем самым государство вместо лечения загоняет болезнь вглубь. В итоге, даже те частные компании, которые 15 лет назад хотели работать на открытом рынке, перешли на государственные заказы и финансирование. Вместо удочки государство дало им рыбу. Модный прежде тезис о частно-государственном партнерстве забыт и используется частными компаниями не только для получения государственных средств, но и для покрытия своих расходов за счет государственных гарантий, кредитов и налогов. Властям нашей страны не удалось ни адаптировать предприятия микроэлектроники к рынку, ни приучить их работать на рынке. Впрочем, вначале требовалось, чтобы кто-то сделал нашим властям прививку и адаптацию к рынку. Но мне кажется, что у них есть иммунитет к этим прививкам без шансов на успех. Докторов, способных сделать прививку, не нашлось. Монополизация. Кому во благо?

Монополизация является одной из главных системных проблем современной России. Корнями эта проблема уходит еще во времена СССР. И распространяется она не только на сферу экономики, но и на гос ударс твенное устройство, информацию, политику. С каждым годом положение не улучшается, а становится хуже. Проходящее огосударствление экономики все больше тормозит ее развитие и лишает шансов решить проблему без «шоковых» мероприятий. Электроника, как

www.elcomdesign.ru

никакая другая отрасль мировой экономики, демонстрирует преимущества рынка и конкуренции. Благодаря конкуренции ни одной компании не удается монополизировать рынок и диктовать свои условия. Даже если такие попытки предпринимаются, то сразу вступает в действие антимонопольное законодательство, и на виновника налагаются миллиардные штрафы. Ни в одной другой мировой отрасли продукция не обновляется так быстро, как в электронике, а в таких сферах как коммуникации этот срок обновления составляет всего 1,5–2 года. К сожалению, российские власти не борются с монополией, а проповедуют монополизм. Удаленный властью разными способами плюрализм в печати, средствах массовой информации, парламенте был перенесен и на экономику. Увеличение государственного сектора экономики, повышение налогов без всякого обсуждения в тех сферах, где легко применить фискальную политику (добыча полезных ископаемых, продажа топлива и энергоносителей, недвижимость, НДС), показывают, что государство пользуется своей монополией власти и не намерено, несмотря на ошибки, от нее отказываться. По крайней мере, пока не получит соответствующего отпора. Ро ссийск а я м ик р оэлек т р о ник а в полном объеме ощущает на себе проблему монополизации. Она усиливается еще за счет того, что более половины российского рынка микроэлектроники приходится на военную продукцию. Поскольку, как правило, каждое предприятие является единственным производителем этой продукции и не имеет конкурентов, в сегменте гражданских ИЭТ, где нет ограничений, но есть конкуренция, несмотря на ослабление рубля, господствует импортная ЭКБ. В военной же микроэлектронике объемы выпуска в количественном выражении падают, а цены продолжают расти. И это никому не идет на пользу. Заказчик в лице Министерства обороны получает устаревшую ЭКБ по высоким ценам, а производители все производственные и нак ладные расходы списывают на малые объемы выпуска продукции, что снижает и без того низкую эффективность производства. При этом производители лишены возможности внедрять инновации. Хотя и не очень стремятся. В результате, несмотря на ослабление рубля, вновь создаваемые современные отечественные вооружения становятся неконкурентными по цене в сравнении с зарубежными, а Министерство обороны отказывается от их закупки. Такого раньше никогда не было. Неужели для

изменения положения нужен дефолт и девальвация национальной валюты, как в 1998 г.? Решить проблему монополизма необходимо не в рамках одной отрасли, а в рамках всей страны, и начинать ее решать власти должны с себя. Увлечение нанометрами и «лопухи» Рогозина

Тему погони российской микроэлектроники за нанометрами в отсутствие рынка сбыта автору уже приходилось подробно анализировать [1]. Стремление некоторых заинтересованных лиц получить и освоить большие деньги на фабрики для производства чипов по нанометровым проектным нормам понятно. Эту тему интенсивно лоббируют руководство и собственники «Микрона», «Ангстрема». Правда, никто из них не подкрепляет это стремление хоть какой-нибудь реальной потребностью в таких чипах, понимая, что они получатся «золотыми». Теперь к ним добавился тяжеловес в лице бывшего вице-премьера, а ныне руководителя «Роскосмоса» Дмитрия Рогозина. В июле текущего года он заявил, что для расширения группировки спутников оборонного значения, а также для дистанционного зондирования Земли и для глобальной системы спутникового интернета «Сфера» необходимо расширить группировку до 640 спутников. С этой целью «надо строить новые фабрики, надо создать производство элементной базы космического назначения с типономиналом 22 нанометра – чтобы это были небольшие аппараты, а не такие лопухи, как были раньше». Конечно, с конструкторской точки зрения любопытно было бы узнать, как будет выполнен переход от «лопухов» к небольшим аппаратам и какую конкретную роль (в процентах) в этом сыграют 22 нанометра. Но важнее другое. Сколько типов изделий, выполненных по норме 22 нанометра, и в каком количестве потребуется для этой задачи? Поскольку ответ на этот вопрос составляет государственную тайну, сформулируем его проще: сколько часов или дней в году потребуется фабу, чтобы удовлетворить эту потребность? Получив этот ответ, Дмитрий Рогозин прослезится, но вряд ли передумает – за этим проектом стоят большие деньги, от которых не откажутся. Неинфицированные компании в России

В то же время в отечественной отрасли есть примеры частных, хорошо организованных и адаптированных к рынку компаний, показывающих хорошие результаты в непростых российских условиях.


ними. Это и не удивительно, если принять во внимание то, что завод строился и организовывался по современным зарубежным стандартам. Технический и производственный персонал проходил цикл обучения в современных европейских микроэлектронных предприятиях, а система качества и технологии формировались в строгом соответствии с международными стандартами. Это позволяет специалистам GS Nanotech разговаривать на одном языке с зарубежными клиентами, поставщиками, аудиторами. Весь срок строительства и запуска GS Nanotech занял всего девять месяцев. Второе положительное впечатление – молодой возраст сотрудников компании. Он прилично контрастирует с возрастом сотрудников других полупроводниковых и микроэлектронных предприятий страны, особенно организованных еще в советский период. Молодые образованные и профессиональные руководители GS Nanotech прошли становление и рост вместе с компанией. Третье впечатление сложно объяснить словами. Как описать царящую рабочую атмосферу и отсутствие сотрудников, снующих по коридорам на других наших предприятиях? За счет современного оборудования, организации и квалификации количество персонала GS Nanotech в два–три раза меньше, чем на схожих отечественных предприятиях. Четвертое впечатление – продукция компании. GS Nanotech выполняет сборку интегральных микросхем в современных многовыводных пластмассовых корпусах BGA, QFN, являясь единственным предприятием в стране, которое обладает такими возможностями. Эта продукция в т. ч. предназначена для нужд других предприятий холдинга, производящего телекоммуникационное оборудование под брендом стратегического партнера GS Group General Satellite, хорошо знакомого абонентам «Триколора». Производственная инфраструктура позволяет компании оперативно наращивать производство в т. ч. новых типов изделий. GS Nanotech – единственное в России предприятие, которое массово выполняет (а не в виде образцов) сборку микросхем по технологии многокристальных модулей, 2,5D, 3D, «система-в‑корпусе» (SIP). Микропроцессоры собственной разработки по технологии SIP используются GS Group в устройствах General Satellite с поддержкой телевидения высокой четкости (HDTV). Отличительной особенностью GS Nanotech от типовых зарубежных сборочных фабрик является наличие собственного центра по разработке и проектированию не только новых кор-

пусов, но и микропроцессоров, а также других ИС для потребительской электроники. Чипы изготавливаются в режиме фаундри на современных кремниевых фабриках, что существенно повышает мобильность и сокращает сроки выхода продукции. Продукция GS Group – один из немногих положительных опытов, когда компания не только формирует и расширяет рынок массового применения продукции, но и производит, а также продает соответствующее оборудование. Холдингу GS Group удалось осуществить то, что так и не удалось концерну «Ситроникс» и АФК «Система». Кроме того, холдинг без громкой шумихи реализовал то, что пока не сделали другие отечественные компании, – начал выпуск твердотельных накопителей (SSD) с объемом памяти до 1 Тбайт с использованием корпусированных на собственном производстве микросхем памяти. Это является реальным, а не политизированным импортозамещением, о котором много говорили чиновники в 2014 г., а затем замолчали и забыли. Следует также обратить внимание на очень перспективную разработку холдинга – суперконденсаторы GS Electric, способные мгновенно заряжаться и отдавать электроэнергию большой мощности. Создаваемые на основе суперконденсаторов системы накопления электроэнергии очень перспективны в электроэнергетике, транспорте, промышленной и военной электронике и т. д. Пятое впечатление – инфраструкт ура. В к лас тере «Технополис GS» в г. Гусев Калининградской обл. работает несколько предприятий, в т. ч. производство микроэлектроники, ресиверов для цифрового телевидения и картонажная фабрика. Для сотрудников предприятий построен жилой квартал с коттеджами европейского уровня и благоустроенной территорией, что позволяет привлекать на работу высококлассных профессионалов с семьями, предоставляя им современные условия проживания. GS Group и GS Nanotech заинтересованы в расширении проектов, в которых они могли бы участвовать в России. Предприятие является идеальным кандидатом для государственной программы локализации производства полупроводниковой и микроэлектронной продукции для автоэлектроники и бытовой техники, проходящих «отверточную» сборку в России. В последние годы я много писал на тему локализации и о возможностях российских предприятий. GS Nanotech – один из немногих кандидатов, способных решить эту задачу. Самое хорошее, что могут сделать наши власти для таких компаний –

электронные компоненты  №9 2018

рынок

Компания АО «ПКК Миландр» – не новичок на российском рынке микроэлектроники. В текущем году ей исполнилось 25 лет. Не ошибусь, если скажу, что своим успехом за все эти годы она обязана одному из соучредителей и бессменному генеральному директору Михаилу Павлюку. Как и большинство других частных компаний, организованных в 1990‑е гг., «Миландр» начинал с поставки электронных компонентов. Мне всегда импонировал стиль работы Михаила Павлюка, и я следил за «Миландром» все эти годы. 10 лет после основания компания переживала взлеты и падения, смену команд, но в течение последних 15 лет значительно диверсифицировала сферы своей деятельности и стала одной из самых динамичных и устойчивых микроэлектронных компаний страны. Дистрибьюторские функции уже давно не являются основным профилем компании. В настоящее время главное направление деятельности – разработка, производство и продажа собственных интегральных микросхем. Михаил Павлюк создал хороший коллектив разработчиков, проектирующих самую сложную ЭКБ, организовал производство по сборке, испытаниям ИС. Но самое главное, что ему удалось, – это нащупать пульс российского рынка, создать сеть контактов с заказчиками, поставщиками по всей стране и за рубежом. «Миландр» не только разрабатывает и поставляет ЭКБ по заказам Министерства обороны, Минпромторга, приборостроительных предприятий, ЖКХ и т. д., но и за свои средства разрабатывает и выпускает электронные компоненты, которые компания считает перспективными. И хотя «Миландр» получает также финансирование из бюджета на создание новой ЭКБ, компания диверсифицирует заказы и заключает коммерческие договора с заказчиками. Все большую долю ее доходов составляет продажа на рынок созданной ею ИЭТ. «Миландр» является наиболее гибкой, профессиональной полупроводниковой компанией страны, адаптированной к рыночным условиям России. Холдинг GS Group. До недавнего времени я оценивал эту компанию только по публикациям, их сайту и по используемому мной оборудованию «Триколор ТВ». Стремление увидеть все своими глазами, свойственное пытливому человеку, несколько месяцев назад привело меня в инновационный кластер «Технополис GS» (инвестиционный проект GS Group) и в полупроводниковую дочку холдинга GS Nanotech. На первый взгляд, с учетом моего опыта посещения зарубежных компаний, я не обнаружил разницы между

9


не мешать их развитию и способствовать применению их продукции, а самое плохое – пытаться вписать их в рамки своего ошибочного представления о том, какой должна быть российская компания, в т. ч. через фискальную налоговую процедуру. Заключение

О бщий диагноз отече с твенной микроэлектроники: -- хронические бюд жетозависимость, неконкурентоспособность, неэффективность, монополизиро-

ванность и милитаризованность, неоправданно обосновывающиеся национальной безопасностью и осложненные общей нехваткой питательных веществ (здорового рынка и рыночных инноваций); -- в текущем виде отечественная микроэлектроника трудно реформируема и к рынку не адаптирована; -- имеются отдельные очаги здоровых клеток, на основании которых можно проводить лечение. Рецепт для лечения:

-- лечение возможно только одновременно с лечением всего организма (экономики и государственных институтов управления страны). Лекарства и ограничения: -- многократно анонсированная властью борьба с нефтяной зависимостью. Литература 1. Дмитрий Боднарь. Погоня россий­ ской микроэлектроники за нанометрами в отсутствие рынка сбыта//Электронные компоненты. 2017. № 1.

СОБЫТИЯ, ЛЮДИ

рынок

| Технический семинар по продукции Xilinx |

10

Компания «Макро Групп» приглашает инженеров‑разработчиков на технический семинар «Маршруты проектирования и методология сверхбыстрого проектирования для ПЛИС и СнК Xilinx», который состоится 9 октября в Москве и 11 октября в Санкт-Петербурге. Москва • Место проведения: гостиница «Альфа», зал № 7 (Измайловское шоссе, д. 71 а, ст. м. «Партизанская»). • Время проведения: 9 октября, начало семинара: 9:30. Начало регистрации: 9:00. Санкт-Петербург • Место проведения: конгресс-центр «Петроконгресс», зал «Нева», (Лодейнопольская ул., д. 5, ст. м. «Чкаловская»). • Время проведения: 11 октября, начало семинара: 9:30. Начало регистрации: 9:00. В программе семинара: • Маршруты проектирования Xilinx: -- традиционный маршрут (среда Vivado Design Suite); -- маршрут проектирования для СнК (среда Xilinx SDK); -- высокоуровневые маршруты проектирования; -- в среде Vivado HLS; -- в среде SD-Soc; -- в среде SD-Accel; -- стек ReVision. • Методология проектирования UltraFast (UFDM): -- назначение UFDM; -- руководящие документы при использовании методология UltraFast; -- пример применения UFDM. • Демонстрация реализации UltraFast с помощью отладочных плат Xilinx. Докладчики семинара: Владимир Викулин (инженер по применению Xilinx), Дмитрий Хорьков (менеджер по развитию бизнеса Xilinx). Любые вопросы по участию в семинаре и по продукции Xilinx можно задать Дмитрию Хорькову, менеджеру по развитию бизнеса Xilinx: тел.: +7 (812) 370–60–70 (доб. 233); эл. почта: Dmitry.Khorkov@macrogroup.ru. Участие в семинаре бесплатное по предварительной записи. Для регистрации необходимо заполнить форму на сайте «Макро Групп», партнера компании Xilinx в России. www.macrogroup.ru

НОВОСТИ ТЕХНОЛОГИЙ

| «Ростелеком» сделает умными 18 городов на базе единой платформы собственной разработки |

ПАО «Ростелеком» создает единую цифровую платформу умного города. Ее основой станут системы четырех типов, которые будут делиться на несколько тематических направлений. Первой группой базовых систем станут системы управления городскими ресурсами. Системы управления социальной сферой предназначены для информирования о городских процессах, вовлечения горожан в принятие решений и предоставления госуслуг. К системам управления сферой социально-бытовых услуг относятся торговля и предоставление услуг, комфортная среда, спортивные организации и сооружения, здравоохранение, образование и социальная защита. Четвертой группой станет система «Безопасный город». Пилотные проекты комплексных умных городов будут развернуты в Сатке Челябинской области, новом районе Перми и Владикавказе. Реализация проекта в Сатке рассчитана до 2023 г. За это время на базе платформы «Ростелекома» планируется развернуть 20 решений. www.russianelectronics.ru

www.elcomdesign.ru


Влияние нарушений непрерывности цепи обратного тока на высокоскоростные сигналы Чжан Фэй Йе (Chang Fei Yee), Keysight В статье обсуждается влияние заземляющих переходных отверстий (stitching vias) и нарушений непрерывности цепи обратного тока или опорного слоя на целостность высокоскоростного сигнала при переходе между слоями печатной платы с точки зрения отражения сигнала и перекрестных помех. Исследование проводилось при помощи ПО электромагнитного 3D-моделирования EMPro компании Keysight.

Введение

вергается воздействию перекрестной помехи [1–2]. Это явление рассматривается в следующем разделе при помощи электромагнитного 3D-моделирования с использованием ПО EMPro компании Keysight. Анализ отражения сигнала и перекрестных помех

Для исследования влияния заземляющих переходных отверс тий и нарушения непрерывности цепи обратного тока на целостность высокоскоростного сигнала использовались три тестовые электромагнитные 3D -модели, созданные с помощью ПО EMPro. В тес товом сценарии 1 (см. рис. 1) две сигнальные дорожки с волновым сопротивлением 50 Ом в несимметричном режиме, расположенные в верхнем слое печатной

платы, проходят в нижний слой через переходные отверстия. Длина каждого сегмента сигнальных дорожек на верхнем и нижнем слоях – 2,54 мм, ширина – 0,127 мм. Диаметр металлизации переходного отверстия – 0,127 мм, диаметр контактной площадки – 0,178 мм. В элек тромагнитной 3D -модели использовались значения толщины слоев печатной платы, приведенные на рисунке 2, причем сплошные полигоны были выполнены на слоях 2 и 3. Толщина каждого из четырех медных с лоев равна 30,4 8 мк м. Под ложка печатной платы изготовлена из стеклотекстолита FR4. Расстояние между центрами двух сигнальных дорожек равно 0,38 мм, т. е. утроенной ширине сигнальной дорожки, чтобы минимизировать перекрестные помехи. Заземляющее переходное отверстие

Т о п о л о г и я п е ч ат н ы х п л ат

В электронной схеме для передачи сигнала необходим замкнутый контур. Прямой ток распространяется от передатчика к приемнику через сигнальную цепь, а обратный ток протекает от приемника к передатчику через слой питания или земли, расположенный непосредственно под сигнальной дорожкой и выполняющий функции опорного слоя или цепи обратного тока. Цепи прямого и обратного токов образуют индуктивность. Необходимо так установить проводник высокоскоростного сигнала относительно непрерывного опорного слоя, чтобы «обратный» ток проходил по выбранному тракту непосредственно под сигнальной дорожкой. Кроме того, при переходе сигнального проводника из одного слоя в другой через переходное отверстие дополнительное переходное отверстие, соединяющее опорные слои печатной платы (заземляющее переходное отверстие), необходимо расположить вблизи переходного отверстия сигнала, чтобы обеспечить непрерывную цепь для обратного тока. Если непрерывность цепи обратного тока нарушена из-за отсутствия заземляющего переходного отверстия или смены опорного слоя от слоя земли к слою питания или, наоборот, после перехода меж ду с лоями на печатной плате, обратный ток может пойти по более длинному пути, что увеличит индуктивность. Это может привести к совместному прохождению разных сигналов по общей цепи обратного тока, т. е. к повышению вероятности появления помехи между сигналами из-за увеличения взаимной индуктивности. В результате переданный сигнал под-

11

Рис. 1. Электромагнитная 3D-модель для тестового сценария 1

электронные компоненты  №9 2018


расположено на расстоянии 0,51 мм от каждого переходного отверстия сигнальных линий для электрического соединения двух опорных слоев 2 и 3. Для оценки перекрестных помех предполагается, что порты 1 и 2 подключаются к передатчику и к приемнику линии-источника помехи, а порты 3 и 4 – к передатчику и приемнику линии, подверженной воздействию помехи. В тестовом сценарии 2 (см. таблицу) электромагнитная 3D-модель имеет только одно заземляющее переходное отверстие, электрически соединяющее два опорных слоя 2 и 3. В остальном тестовый сценарий 2 повторяет тестовый сценарий 1.

Рис. 2. Электромагнитная 3D-модель для тестового сценария 2

Таблица. Толщина слоев печатной платы

Т о п о л о г и я п е ч ат н ы х п л ат

Толщина, мкм

12

Верхняя паяльная маска

12,7

Сигнальный слой L1

30,48

Подложка

88,9

Слой L2

30,48

Подложка

254

Слой L3

30,48

Подложка

88,9

Сигнальный слой L4

30,48

Рис. 3. Электромагнитная 3D-модель для тестового сценария 3

Нижняя паяльная маска

12,7

изменяется в диапазоне 1 МГц…20 ГГц). Параметр S11 представляет отражение сигнала, параметр S41 – перекрестные помехи на дальнем конце. Более значительные отражения сигнала и перекрестные помехи соответствуют меньшей абсолютной величине в дБ. Из рисунка 4 видно, что в большей части диапазона частот самые значительные отражения сигнала и перекрестные помехи на дальнем конце наблюдаются в тестовом сценарии 3, за которым следуют сценарии 2 и 1. Затем для этих трех тестовых сценариев были получены модели переходных процессов, чтобы исследовать перекрес тные помехи на дальнем конце во временной области. При моделировании переходного процесса

В отличие от предыдущих случаев, в тестовом сценарии 3 (см. рис. 2) пустоты в опорном слое 3 нарушают электрическую связь между опорными слоями 2 и 3, несмотря на наличие заземляющих переходных отверстий. Это позволяет увидеть, как нарушения непрерывности цепи обратного тока влияют на отражение сигнала и перекрестные помехи путем смены опорного слоя от слоя земли к слою питания или, наоборот, после прохождения сигнала через переходное отверстие. Зависимости S‑параметров от частоты для электромагнитных 3D-моделей в рассмотренных тестовых сценариях приведены на рисунке 3 (частота

Рис. 4. Зависимости а) S11 и б) S41 от частоты, полученные с помощью ПО EMPro компании Keysight

www.elcomdesign.ru

прямо­у гольный сигнал со скоростью передачи 2,6 Гбит/с, амплитудой 800 мВ (пик–пик) и скоростью нарастания 7 В/нс подавался на порт 1 электромагнитной 3D-модели для каждого тестового сценария; на порте 3 фиксировался низкий уровень (ближний конец линии, подверженной действию помехи), а показания снимались с порта 4 (дальний конец линии, подверженной действию помехи). На рисунке 5 показан во временной области шум, наведенный на дальнем конце линии, которая подверглась воздействию помехи. Этот уровень составил 68 мВ (пик–пик), 75 мВ (пик–пик) и 127 мВ (пик–пик) для первого, второго и третьего сценариев, соответственно. Минимальные перекрестные помехи и отражение сигнала можно наблю-


Рис. 5. Шум, наведенный на дальнем конце из-за перекрестных помех, в трех тестовых сценариях

помех для обратного тока по сравнению с тестовым сценарием 1. С другой стороны, в сценарии 3 сигнальная трасса в верхнем слое работает с возвратом тока через слой земли, а сигнальная трасса на нижнем слое – через слой питания, или наоборот, что приводит к разрыву либо нарушению непрерывности цепи обратного тока после переходного отверстия цепи сигнала, а, следовательно, к увеличению отражения сигнала и перекрестных помех. Поверхностная плотность обратного тока в переходном отверстии между слоями земли для первого и второго

сценариев показана на рисунках 6–7. Когда прямоугольный сигнал с частотой Котельникова 1,3 ГГц синфазно подается на порты 1 и 3, прямой ток протекает по двум сигнальным дорожкам от верхнего к нижнему слою печатной платы, а обратный ток – от слоя земли 3 к слою земли 2. Поверхностная плотность обратного тока для сценариев 1–2 равна 20 и 40 А/м2, соответственно. Плотность тока для сценария 2 в два раза больше плотности тока для сценария 1, т. к. одно переходное отверстие между слоями земли становится «узким местом» из-за совместного использования его двумя сигнальными дорожками. Выводы

Рис. 6. Поверхностная плотность тока в переходных отверстиях между слоями земли для тестового сценария 1

Рис. 7. Поверхностная плотность тока в переходных отверстиях между слоями земли для тестового сценария 2

Непрерывность цепи обратного тока для высокоскоростных сигналов при их переходе через слои печатной платы обеспечивает минимальные перекрестные помехи и отражение сигналов. Для этого опорный слой каждого сегмента сигнальных дорожек должен принадлежать одной цепи (предпочтительно земле). Кроме того, заземляющее переходное отверстие следует размещать рядом с переходными отверстиями цепи сигнала для электрического соединения опорных слоев печатной платы. Эта статья впервые была опублико­ вана в журнале Design007 в июне 2018 г. Литература 1. S. Tan Y. Yew and H. Shi. Crosstalk and Switching Noise Mechanism Study in High Density Wire-bond FPGA Device. 10th Electronics Packaging Technology Conference. 2008. Singapore. 2. B. Olney. Return Path Discontinuities// www.magazines007.com.

электронные компоненты  №9 2018

Т о п о л о г и я п е ч ат н ы х п л ат

дать в первом тестовом сценарии, поскольку для каждого переходного отверстия сигнальной линии имеется соответствующее заземляющее переходное отверстие, и все сегменты сигнальных дорожек соотнесены с одной цепью обратного тока, предпочтительно землей. Эта структура обеспечивает идеальный возврат тока для высокоскоростных сигналов. Во втором сценарии только одно заземляющее переходное отверстие соединяет опорные слои. Это отверстие становится «узким местом» в цепи обратного тока двух сигнальных дорожек, что приводит к увеличению перекрестных

13


Согласование и трассировка сигналов в сквозной топологии памяти DDR3/4 Сергей Краснов, разработчик

В статье рассматриваются требования, которые предъявляются к практической реализации сквозной топологии для памяти типа DDR3/4.

Рис. 1. Сквозная топология для трассировки сигналов тактовой частоты, адресации и команд

Т о п о л о г и я п е ч ат н ы х п л ат

Сквозная (fly-by) топология запоминающих устройств (ЗУ ) типа DDR3/4 осуществляется по принципу последовательного (или многоточечного соединения), но она характеризуется очень короткими ответвлениями. Эти проводники, обеспечивающие связь с ка ж дым ЗУ цепочки, позволяют уменьшить отражения. Преимущества сквозной топологии заключаются в поддержке высокочастотных приложений, улучшении целостности сигнала и обеспечении временного режима для цепей с большим количеством сигналов. При

14

Рис. 2. Сигнал адресации памяти DDR3 с согласованием до оконечной нагрузки (симуляция с помощью средства HyperLynx)

www.elcomdesign.ru


Рис. 3. Сквозная топология памяти DDR3 с согласованием после оконечной нагрузки

Т о п о л о г и я п е ч ат н ы х п л ат

15

Рис. 4. Сквозная топология памяти DDR3 с оконечным согласованием и 500-мил ответвлением

электронные компоненты  №9 2018


Т о п о л о г и я п е ч ат н ы х п л ат

16

использовании высокочастотной памяти типа DDR4 ширина полосы канала должна быть как можно больше. Однако качество сигналов заметно падает из-за очень высокой скорости изменения фронтов импульсов, наличия множества ответвлений, согласующих резисторов на концах линий передач и, соответственно, большой нагрузки. Отражения сигнала происходят там, где резко меняется импеданс линии передачи. Эти изменения происходят из-за несогласованных источников сигналов и нагрузок, из-за межслойных переходов, материалов с разной диэлектрической проницаемостью, ответвлений, разъемов, согласующих резисторов и корпусов ИС. Понимание причин этих отражений и исключение источника рассогласования обеспечивает надежное функционирование приложения. В идеальной линии передачи отражения отсутствуют, а импеданс источника равен импедансу проводников, а также импедансу нагрузки. При проектировании линий передачи с достаточно большой скоростью нарастания импульсов необходимо учитывать время распространения сигнала и его отражения. Если время распространения и прохождения сигналов отражения от источника к нагрузке превышают время нарастания или спада импульсов, считается, что у проводника большая электрическая длина. Если же линия передачи короткая, отраженные сигналы можно не принимать в расчет, поскольку определяющую роль начинает играть время нарастания или спада импульсов. Однако даже если у проводника небольшая длина, требуется согласование, если нагрузка емкостная или очень индуктивная, чтобы предотвратить звон. В соединениях проводников «точка–точка» используется последовательное согласование, т. е. одна нагрузка на одну цепь, а в многоточечной топологии с шинами и достаточно большим количеством нагрузок применяется параллельное (оконечное) согласование. Как правило, в топологиях DDR3/4 не требуется последовательное согласование запоминающих устройств, расположенных на плате. Однако если в приложении применяется подключаемый модуль памяти, длина сигнала данных и маскируемых сигналов может оказаться очень большой и потребоваться последовательное согласование. В сквозной топологии проводники сигналов адресации, управления и команд (address, control and command, ACC) напрямую соединяются с выводами запоминающего устройства, а в конце линии передачи параллельно устанавливается согласующий резистор

www.elcomdesign.ru

На заметку • Сквозная топология поддерживает функционирование на высоких частотах, улучшает целостность сигналов и обеспечивает временной режим в цепях с большим количеством сигналов. • Качество сигнала заметно падает из-за наличия множества ответвлений, согласующих резисторов на концах линий передач и, соответственно, большой нагрузки. • Отражения сигнала происходят там, где резко меняется импеданс линии передачи. • Если время распространения и прохождения сигналов отражения от источника к нагрузке превышают время нарастания или спада импульсов, считается, что у проводника – большая электрическая длина. • Даже если у проводника небольшая длина, необходимо согласование, если нагрузка емкостная или имеет большую индуктивность, чтобы предотвратить звон. • В двухточечных соединениях проводников применяется последовательное согласование, т. е. одна нагрузка на одну цепь. • В многоточечной топологии с шинами и достаточно большим количеством нагрузок применяется параллельное (оконечное) согласование. • В сквозной топологии проводники сигналов адресации, управления и команд напрямую соединяются с выводами запоминающего устройства, а в конце линии передачи параллельно устанавливается согласующий резистор. • Емкость ответвлений вместе с паразитной входной емкостью вывода приемника изменяют импеданс согласующей цепи. • Отражения отсутствуют, если проводник с сигналом адресации соединен напрямую с выводами приемника без ответвления, а согласующий резистор установлен в самом конце линии. • Из-за ответвлений появляются отраженные сигналы, которые ухудшают целостность сигнала адресации в сквозной топологии. • Длина ответвлений не должна превышать 200 мил, чтобы целостность сигнала не ухудшилась из-за отражений.

(см. рис. 1). Короткие ответвления применяются для последовательной передачи сигнала каждому запоминающему устройству. Однако следует учитывать, что чем длиннее эти ответвления, тем больше их емкость. Емкость ответвлений вместе с паразитной входной емкос тью вывода приемника изменяют импеданс соглас ующей цепи. При использовании параллельных согласующих цепей в многоточечной топологии не всегда имеется возможность установить согласующий резистор за оконечной нагрузкой из-за ограничений на занимаемое пространство. На рисунке 2 показана схема такой конфигурации. Если длина ответвления очень мала, а скорость нарастания и спада импульсов не слишком велика, такую схему можно считать приемлемой. Однако с увеличением скорости изменения фронтов импульсов емкость ответвлений существенно возрастает и возникают отражения.

Часть первой волны от источника сигнала, которая представляет собой н е б о л ьш о й и м п ул ь с , о т р а ж а е тс я от дефекта (участка линии передачи с разрывом импеданса) и возвращается к источнику. Этот импульс снова отражается от выхода источника сигнала с малым импедансом и через некоторое время поступает в приемник. На входе приемника наблюдается исходный нарастающий фронт импульса, а за ним – вторичный импульс. Если исходный отраженный импульс достаточно мал, всеми последующими отражениями можно пренебречь. Однако в этом случае из-за отраженного сигнала появляется немонотонный фронт на первом приемном устройстве (показан оранжевым цветом на рисунке 2). Этот фронт может вызвать ложное срабатывание приемника. Ес ли, например, на одной линии адресации находятся четыре кристалла памяти, схожие ложные

Рис. 5. Глазковая диаграмма для сквозной топологии памяти DDR3 с 500-мил ответвлениями к входам приемника


срабатывания могут произойти во всех приемниках, кроме последнего. На рисунке 3 представлена типовая сквозная топология пам яти DDR3 с согласованием после оконечной нагрузки. Кроме того, проводник с сигналом адресации соединен напрямую с выводами приемника без ответвления. В этом случае отсутствуют сигналы, отраженные от согласующего резистора. На рис унке 4 показана с хема и результирующие сигналы в том случае, когда в нее был установлен проводник длиной 500 мил для сигналов адресации, соединяющийся с каждым входным выводом. Такую схему используют многие инженеры. Отраженные сигналы, появившиеся из-за дополнительной емкости ответвлений, не так плохи, как сигналы на рисунке 2, где согласующий резистор был установлен перед оконечной нагрузкой. Эта схема демонстрирует влияние ответвлений на сигналы ACC в сквозной топологии. На рисунке 5 показаны джиттер и шум, появившиеся из-за этих ответвлений. Результаты нескольких других симуляций с помощью средства HyperLynx LineSim показали, что длина ответвлений не должна превышать 200 мил, чтобы избежать отражений в данном (типовом) случае. Этот вывод подкрепляется хорошей глазковой диаграммой (см. рис. 6).

Рис. 6. Глазковая диаграмма для сквозной топологии памяти DDR3 с 200-мил ответвлениями к входам приемника

Итак, параллельные согласующие резисторы в сквозной топологии памяти DDR3 следует устанавливать за последним приемником в конце согласующей линии и соединять с ним напрямую. Поскольку в этой топологии отсутствуют отраженные сигналы, длину линии от последнего приемника до согласующих резисторов не требуется согласовывать по задержке или длине с другими сигналами группы ACC. Последующие симуляции показали, что линия может иметь достаточно большую длину (до 3000 мил). При этом

качество сигнала заметно не ухудшается. Чтобы глазок на диаграмме был открыт, длина ответвлений от сигнальной линии к входу каждого приемника не должна превышать 200 мил. Литература 1 .  B a r r y O l n e y ’ s B e y o n d D e s i g n . DDR3/4 Flyby vs T‑topology Routing, Impedance Matching: Terminations. 2. Howard Johnson. High-Speed Signal Propagation.

Т о п о л о г и я п е ч ат н ы х п л ат

17

РЕКЛАМА

электронные компоненты  №9 2018


Нарушение целостности сигнала из-за неиспользуемых сегментов переходных отверстий Юрий Сенякин, инженер

Т о п о л о г и я п е ч ат н ы х п л ат

Нередки случаи, когда при решении вопросов обеспечения целостности сигналов даже опытные специалисты расходятся в своих выводах. Возможно, одним из исключений является ответ на вопрос, связанный с неиспользуемыми сегментами переходных отверстий, который и рассматривается в этой статье.

18

К а к и з в е с т н о, х а р а к т е р и с т и к и высокоскоростных сигналов заметно ухудшаются, если резонансная частота неиспользуемой части отверстия, находящегося рядом с сигнальным трак том, близка к частоте распро страняющегося сигнала. В то же время, разработчиков не интересует, находятся ли переходные отверстия рядом со с лоями питания и зазем ления. Но правильно ли это? Оказывается, что в высокоскоростных приложениях неиспользуемые сегменты переходных отверстий, ведущих к слоям питания, могут наносить вред, сопоставимый с вредом от сегментов сигнальных отверстий. Мы проанализируем именно такие случаи. Сначала мы попытаемся разобраться в сути этого явления. Неиспользуемый сегмент переходного отверстия в ВЧ- или СВЧ-приложениях представляет собой линию передачи с глухим концом. Неиспользуемые сегменты в этих системах играют очень важную и полезную функцию – они создают фильтры и формируют амплитудночастотную характеристику схемы. Эти а)

сегменты могут стать причиной искажения сигнала [1], хотя в отдельных с лучаях они улучшают его целостность, например, когда разработчики намеренно создают емкос тную нагрузку или емкостную компенсацию с использованием коротких несогласованных проводников [2]. Участок однородной линии передачи без потерь можно охарактеризовать с помощью ее собственной емкос ти C и индук тивнос ти L; при этом предположим, что C и L являются частотно независимыми скалярными величинами. По этим величинам определяются два основных параметра: характеристический импеданс Z 0 = √(L/C) и время задержки на распространение tPD = √(LC). Задержка распространения представляет собой время прохождения электромагнитной волны по межсоединению. Принцип передачи сигналов без искажений состоит в том, что проводник выполняется как линия передачи с заданным характеристическим (волновым) сопротивлением, или импедансом Z 0 , который являет-

б)

Рис. 1. а) входной импеданс в схеме испытательной установки; б) характеристики 60-Ом однородной линии передачи без потерь длиной 25,4 см с разными значениями согласующего сопротивления (логарифмическая шкала частот)

www.elcomdesign.ru

ся одинаковым на всем протяжении от источника к приемнику сигнала. В отличие от обычного проводника, в такой линии не возникают резонанс и отражения при передаче сигнала, какой бы длины она ни была и какой бы ни была задержка. При анализе линии передачи на высоких частотах используются матрица рассеяния. Очевидно, что описание линии ус ложняетс я, если потерями нельзя пренебречь: C и L становятся комплексными велич и н а м и , з а в и с я щ и м и о т ч ас т о т ы . Однако чтобы установить влияние резонанса, причиной которого являются неиспользуемые сегменты переходных отверстий, моделирование их поведения с помощью линий передачи без потерь позволяет понять суть возможной проблемы. На рисунке 1 показано, как меняется входной импеданс линии передачи без потерь, если согласующее сопротивление не соответствует характеристическому импедансу. В рассматриваемом примере мы исходим из того, что величина этого сопротивления составляет 60 Ом. Входной импеданс не зависит от частоты и равен 60 Ом, только если согласующее сопротивление составляет 60 Ом. При любом согласующем сопротивлении на очень малых частотах входное сопротивление равно величине этого согласующего сопротивления. Такую картину мы ожидаем увидеть исходя из общих соображений, поскольку линия передачи без потерь ведет себя как короткозамкнутый проводник между выводами для входного и выходного сигналов. С увеличением частоты кривые импеданса, начальное значение которого превышало 60 Ом, направлены вниз, а кривые, у которых |Z IN | изначально был меньше 60 Ом,


начинают подниматься (см. рис. 1). Все кривые достигают точки перегиба и экстремальных значений, после чего импеданс изменяется колебательно. Частота первого экстремума в наш е м с л у чае р ав н а п р и м е р н о 150 МГц. На этой частоте учетверенная величина задержки на распространение равна периоду колебаний. Речь идет о четвертьволновом резонаторе: при четвертьволновом резонансе входной импеданс определяется следующим образом (1): . (1) В общем случае, когда используется согласующий импеданс Z T, входной импеданс линии передачи без потерь определяется согласно (2): . (2)

где l – длина межсоединения; β – постоянная распространения.

В случае с линией без потерь постоянная β определяется произведением круговой частоты на задержку распространения. Тангенс аргумента βl является фазовым сдвигом сигнала между входом и выходом линии. Из этой формулы для входного импеданса видно, что при определенных значениях согласующего импеданса Z T и аргумента βl выражение (2) может принимать нулевое или бесконечное значение независимо от величины характеристического импеданса. Действительно, если конец неиспользуемого участка переходного отверстия разомкнут, а значение Z T бесконечно большое, входной импеданс становится равным нулю при бесконечно большом числе значений частоты. Например, так происходит, если βl = 90°. Четвертьволновый инвертор в предельных случаях при заданных частотах и разомкнутом выводе преобразуется в нулевой входной импеданс. Так происходит при любой физической длине линии – определяющей четвертьволновый резонанс является электрическая

длина βl. Этот резонанс может происходить в линии электропередачи длиной с километр и в линиях с переходными микроотверстиями – разница лишь в частоте, на которой он появляется. Из-за потерь в линиях передачи с неиспользуемыми сегментами переходных отверстий эти линии имеют конечное минимальное сопротивление, но пока величины характеристического импеданса сегментов и линии передачи одного порядка, даже один сегмент отверстия с потерями и малым импедансом при четвертьволновом резонансе может стать причиной значительного искажения сигнала. У металлизированных переходных отверстий в многослойной печатной плате, которые соединяют только два внутренних слоя, имеются неиспользуемые сегменты с металлизированным покрытием. В условиях возникновения четвертьволнового резонанса (и соответствующих гармоник, а также в полосах вблизи этих частот) небольшой входной импеданс неиспользуемого сегмента шунтирует сигнальный тракт,

Т о п о л о г и я п е ч ат н ы х п л ат

19

Рис. 2. а) схематичный вид переходного отверстия с неиспользуемыми сегментами и упрощенная электрическая модель с линиями передачи; б) передаточная характеристика как функция высоты выходного проводника в многослойной плате. В пояснительных надписях к диаграммам первое значение соответствует задержке перехода, второе – задержке неиспользуемого сегмента

электронные компоненты  №9 2018


Т о п о л о г и я п е ч ат н ы х п л ат

20

в результате чего АЧХ искажаются и на них появляются зубцы (см. рис. 2). Ес л и н е и с п о л ь з уе м ы й се г м е н т отверстия не находится в месте приема сигнала, даже полное шунтирование сигнального тракта не всегда существенно портит сигнал, но большую часть времени он искажен. Разобравшись с тем, как неиспользуемые сегменты переходных отверс тий искажают высокоскорос тные сигналы, давайте обсудим, как эти отверстия влияют на силовые и заземляющие цепи. Наибольшее различие между сигнальными и силовыми или заземляющими цепями многослойных печатных плат заключается в том, что для передачи сигналов используются проводники с харак теристическим импедансом в десятки Ом, а для подачи питания – слои, у которых эквивалентный характеристический импеданс на два порядке ниже. С другой стороны, диапазон изменения размеров вертикальных переходных отверстий не так велик, как у с лоев питания. Длины переходных отверстий, по которым проходит сигнал и подается питание, почти одинаковы и определяются толщиной печатной платы, а их диаметры не отличаются в десятки или сотни раз, как суммарные импедансы. Исходя из этих соображений, становится понятно, почему переходные отверстия для подачи питания и заземления не вызывают проблем с соответствующими цепями. Эти отверстия достаточно малы, чтобы сколько-нибудь заметно изменить импеданс цепей питания и заземления. Однако переходные отверстия цепей питания все-таки оказывают влияние на целостность сигналов. При резонансе проводников, переходных отверстий и выводов вокруг них возникают поля, занимающие достаточно большое пространство [3–4]. В условиях резонанса на эти элементы воздействуют перекрестные помехи, в т. ч. на рядом расположенные сигнальные цепи. Для примера рассмотрим пару переходных отверстий и отверстие между ними, не предназначенное для передачи сигналов. Предположим, что это отверстие соединено со слоем питания, который находится рядом с верхней частью платы, как показано на рисунке 3. Для простоты будем рассматривать четырехслойную плату. Диаметр этих переходных отверстий составляет 10 мил (1 мил = 0,025 мм), диаметр контактных площадок – 15 мил, диаметр площадок без металлизации для предотвращения непредусмотренных электрических контактов – 20 мил, высота – 113 мил. Предполагается, что величина потерь в диэлектрике мала.

www.elcomdesign.ru

Рис. 3. Объемное представление сигнальной пары переходных отверстий со сквозным отверстием для подачи питания

В таблице представлены значения толщины всех слоев рассматриваемой печатной платы. Заметим, что в этом упрощенном случае середина стека «пустая» – в ней отсутствуют другие слои. На самом деле в многослойной печатной плате имеется несколько слоев в центре стека. Однако если отсутствует электрическое соединение с другими слоями с помощью переходных отверстий, эффект емкостной нагрузки этих слоев незначителен. При этом увеличивается задержка на распространение, и уменьшается средняя величина импеданса переходных отверстий, но эффект резонанса заметно не возрастает. Задача моделирования в таких случаях не вполне хорошо определена, поскольку нет прямого соединения внутри этой структуры между верхними и нижними слоями, и граничные условия этого соединения определяются путем симулирования. Мы сымитировали эту очень простую структуру с помощью 3D-модели. При этом порты 1 и 3 модели соединялись с левыми верхними и нижними переходными отверстиями, а порты 2 и 4 модели – с правыми верхними и нижними переходными отверстиями. Импеданс коротких горизонтальных подводящих проводников равен примерно 50 Ом. На рис унке 4 показаны час тотные характеристики коэффициента отражения порта 1 (S11), основного сигнала (S31), перекрестных помех на ближнем (S21) и дальнем концах (S41). Примерно до частоты 10 ГГц эти хар ак терис тик и выгл я д я т вполне неплохо: потери в основном тракте минимальны (при 10 ГГц они составляют –0,25 дБ), хотя отражение превышает –20 дБ при частотах больше 4,5 ГГц. Это объясняется тем, что рассматрива-

Таблица. Толщина 4-слойной печатной платы и ее слоев Наименование слоев Толщина, мил 4 слоя печатной платы

112,94

1-й сигнальный слой

1,2

промежуточный слой

4,5

слой препрега 1

0,77

промежуточный слой

100

слой препрега 2

0,77

промежуточный слой

4,5

2-й сигнальный слой

1,2

емые переходные отверстия не были оптимизированы на отражение. Уровни перекрестных помех примерно на 20 дБ ниже значения коэффициента отражения. Однако вблизи 13 ГГц характеристики становятся очень плохими – наблюдаетс я резкий спад передаточной функции (S31, красная кривая), и появляется очень большой пик у обеих к р ив ы х п ер ек р е с т ны х п о м е х (S 21 , зеленая кривая, и S 41, черная кривая). Частота 13 ГГц, на которой возникает зубец и пик перекрестной помехи, соответс твует четвертьволновому резонансу неиспользуемого сегмента переходного отверстия, не предназначенного для передачи сигналов. Высота этого сегмента сос тавляет 106,5 мил. Поскольку ее большая часть проходит через сердцевину платы, будем считать, что диэлектрическая проницаемость материала, окружающего переходное отверстие, составляет 3,8. Соответствующая задержка распространения без нагрузки равна 17,6 пс. Следовательно, грубо говоря, частота, на которой происходит четвертьволновый резонанс неиспользуемого сегмента переходного


Рис. 4. S-параметры пары переходных отверстий, расположенных рядом с отверстием с неиспользуемым сегментом для соединения со слоем питания

Т о п о л о г и я п е ч ат н ы х п л ат

21

Рис. 5. Характеристика структуры во временной области, показанной на рисунках 3–4

отверстия, составляет 1/(4tPD) = 24,2 ГГц. Разница между расчетным значением 24,2 ГГц и результатом моделирования равным 13 ГГц объясняется электрической нагрузкой на контактные и антиконтактные площадки. Соответствующая характеристика во временной области показана на рисунке 5. Харак теристики TDR (пр ие м ник а) и T DT (пер е д ат чик а) п о к а з а н ы р я д о м с в е р т и к а л ьн о й осью, чтобы лучше представить сигналы перекрес тных помех. Видно, что в «тихом» отверстии имеется звон с очень малым затуханием. Заметим, что перекрестные помехи на ближнем

и дальнем концах находятся почти в противофазе. Эта проблема решается так же, как и с неиспользуемыми сегментами переходных отверстий в сигнальном тракте: их удаляют, выносят их частоту резонанса за требуемый диапазон. С этой целью используют обратное сверление, глухие или скрытые отверстия либо размещают проблемные отверстия подальше от сигнальных трактов. В заключение заметим, что заземляющие отверстия в наименьшей степени являются источниками рассмотренных проблем, поскольку в многослойных платах, как правило, имеется много заземляющих слоев,

благодаря которым неиспользуемые сегменты отверстий становятся короче, а их резонансная частота – выше. Литература 1 .  B e r t S i m o n o v i c h . V i a s t u b s Demystified//blog.lamsimenterprises.com. 2. Loaded Parallel Stub Common Mode Filter. DesignCon 2008. Santa Clara. 3. Examining the Impact of Power Structures on EM Model Accuracy. DesignCon2011. Santa Clara//www.electrical-integrity.com. 4. Crosstalk in Via Pin-Fields, Including the Impact of Power Distribution Structures. DesignCon2009. Santa Clarahttp://w w w. electrical-integrity.com.

электронные компоненты  №9 2018


Обзор трассировки электронных компонентов в корпусах BGA Кристиан Келлер, технический специалист, Altium Перевод: Павел Демидов, технический специалист, Altium

Увеличение плотности печатного монтажа и миниатюризация элементной базы порождает все новые задачи для проектировщиков устройств на базе печатных плат. В частности, использование электронных компонентов в корпусах BGA (Ball Grid Array – массив шариков) вызывает определенные трудности в процессе трассировки, поскольку сотни выводов располагаются на площади всего в несколько квадратных сантиметров. К счастью, у проектировщиков теперь имеются способы решения этой проблемы.

Т о п о л о г и я п е ч ат н ы х п л ат

Создание фэнаутов и трассировка за пределы посадочного места

22

Из-за малого расстояния между точками соединений только два внешних ряда выводов корпуса BGA можно непосредственно подключить к проводникам на внешних слоях платы, что недостижимо для остальных выводов. Многие САПР электроники позволяют автоматически создавать трассировку за пределы посадочного места и фэнауты (fanouts) – короткие проводники, которые проходят от центра выводов под углом 45°, и отверстия для перехода на другой сигнальный слой, где выполняется трассировка (см. рис. 1). При использовании технологии размещения переходных отверстий непосредственно под контактными площадками (Via-in-Pad) нет необходимости в создании трасс от центров выводов, что увеличивает пространство для проводников цепей. Таким образом, благодаря этой технологии сквозные контакты можно размещать прямо на выводах корпуса BGA (см. рис. 2). В процессе изготовления платы эти

Рис. 1. Корпус BGA с классической трассировкой всех электрических соединений

www.elcomdesign.ru

контакты заполняются компаундом, их концы выравниваются и покрываются проводящим материалом. Поверхность переходного отверстия становится плоской, и ее можно использовать в качестве контактной площадки для корпуса BGA. Это решение годится не только для многоуровневых и ступенчатых микропереходов, но и для глухих переходных отверстий. Стандарт IPC‑4761 описывает подготовку переходных отверстий в контактных пло-

щадках, например заполненных и закрытых переходных отверстий (IPC‑4761, тип VII). Несмотря на высокую стоимость производства, применение этой технологии всегда предпочтительнее благодаря большей плотности печатного монтажа электронных компонентов в корпусах BGA и меньшей индуктивности на высоких частотах (что влияет на качество сигнала). Современные инструменты создания фэнаутов позволяют выбрать между классическими фэнаутами и переход-

Рис. 2. Визуализация выводов корпуса BGA и их возможного подключения

Рис. 3. Сигнальные цепи с фэнаутами и трассировкой и цепи питания с переходными отверстиями в контактных площадках


Рис. 4. Окно редактора проекта для создания фэнаутов в Altium Designer

ными отверстиями в контактных площадках (см. рис. 3). САПР Altium Designer обеспечивает все необходимые инструменты для создания к лассических фэнау тов и настройки их направления относительно контактной площадки (см. рис. 4–5), позволяя расположить переходное отверстие в центре между выводами BGA или отказаться от такого решения (параметр Via Placement Mode). В большинстве случаев переходное отверстие устанавливается между выводами BGA в силу меньшей стоимости и сложности производства. Во многих случаях это отверстие смещено в сторону выводов, и трассировка за пределы посадочного места оптимизирована для таких соединений «вне сетки». Однако для каждого корпуса BGA вырабатывается своя стратегия по реализации фэнау тов и трассировки. Классические автотрассировщики большинства САПР элек-

троники быстро достигают пределов своих возможностей. С учетом увеличения спроса на устройства в корпусах BGA производители плат усовершенствовали оборудование, что позволило уменьшить ширину проводников и минимальные размеры переходных отверс тий. В быс тродейс твующих устройствах с очень плотным размещением компонентов все чаще применяются межсоединения высокой плотности (HDI). Контактные площадки размером 0,45 мм и диаметром сверления 0,15 мм считаются стандартными для сквозных контактов при толщине меди 35 мкм. Точные минимально допустимые значения этих и других размеров определяет каждый конкретный производитель. При проектировании устройств с компонентами в корпусах BGA можно использовать более узкие проводники возле корпуса, чтобы упростить прохождение сигналов за пределы посадочного

места. Но поскольку на практике невозможно обеспечить необходимый импеданс при ширине проводников менее 0,1 мм на стандартных подложках, такие трассы используются только рядом с корпусами, а не на всей плате. Выводы

Разработчикам приходится считаться с тем, что размеры плат и компонентов постоянно уменьшаются и эта тенденция сохранится в обозримом будущем. Благодаря поддержке современными системами проектирования таких нововведений как переходные отверстия в контактных площадках, уменьшение ширины проводников возле корпусов BGA и других ограничений появились возможности реализовать топологию устройств с высокой плотностью печатного монтажа. Использование новых инструментов делает работу с компонентами BGA максимально простой и эффективной.

электронные компоненты  №9 2018

Т о п о л о г и я п е ч ат н ы х п л ат

Рис. 5. Примеры конфигурации фэнаутов и трассировки для корпусов BGA

23


Обновленная и улучшенная технология Bluetooth 5 для IoT Борис Старовойтов, инженер

В статье рассмотрены новые возможности технологии Bluetooth 5 и варианты ее использования в интернете вещей (IoT). Главной особенностью Bluetooth 5 является удвоение скорости передачи, увеличение в четыре раза дальности передачи и в восемь раз способности оповещения на дальние расстояния, улучшенный интерфейс пользователя, надежные соединения, более «умные» маяки.

Стандарту Bluetooth – уже почти 20 лет. В настоящее время используется примерно 8,2 млрд устройств, что подтверждает его надежность. Чтобы выполнить требования, предъявляемые системами IoT, концерн Bluetooth SIG анонсировал в декабре 2016 г. спецификацию Bluetooth 5. В последнем стандарте Bluetooth расширена полоса пропускания, увеличена дальность, улучшены параметры широкополосной передачи и совместной работы. Удвоение скорости

Одним из наиболее заметных преимуществ Bluetooth 5 является новый физический уровень (PHY) 2 Мбит/с. Устройства Bluetooth 4.x поддерживают только одну скорость

1 Мбит/с на физическом уровне, а устройства Bluetooth 5 – две скорости: 1 и 2 Мбит/с. За счет удвоения скорости на физическом уровне количество данных, которые могут передать устройства, практически удваивается, как видно из таблицы 1. Другое преимущество более быстрого физического уровня заключается в сокращении времени приема и передачи данных; при этом снижается средняя мощность потребления, поскольку устройство дольше находится в режиме ожидания. Удвоение пропускной способности при снижении мощности потребления обеспечивает более быструю передачу данных в таких случаях как обновление прошивки

Беспроводные технологии

Таблица 1. Результаты удвоения скорости на физическом уровне по технологии Bluetooth Low Energy PHY

Скорость передачи символов

Обнаружение ошибок

Увеличение расстояния

Длина пакета

Мин. длительность пакета

1 Мбит/с

1 млн симв/с

2 Мбит/с

1 млн симв/с

CRC

1x

0–257 байт

80 мкс

2,12 мс

800 Кбит/с

CRC

0,8x

0–257 байт

44 мкс

1,064 мс

1438 Кбит/с

Макс. длительность пакета

Примечание. Увеличение расстояния указано приблизительно

24

а)

б)

Рис. 1. Сравнение средней мощности передачи СнК EFR32BG12 при скорости передачи на физическом уровне: а) 1 Мбит/с; б) 2 Мбит/с

www.elcomdesign.ru

Макс. пропускная способность


Рис. 2. Формат Bluetooth 5 с кодированием и без него Таблица 2. Основные параметры LE Coded PHY LE Coded PHY

Скорость передачи символов

Обнаружение ошибок

Исправление ошибок

Увеличение расстояния

Длина пакета

Мин. длительность пакета

Макс. длительность пакета

Макс. пропускная способность

500 Кбит/с; S=2

1 млн симв/с

CRC

ПКО

2

0–257 байт

720 мкс

17040 мкс

382 Кбит/с

125 Кбит/с; S=8

1 млн симв/с

CRC

ПКО

4

0–257 байт

462 мкс

4542 мкс

112 Кбит/с

Примечание. Увеличение расстояния указано приблизительно

Четырехкратное увеличение скорости

Опция LE (long range – большое расстояние) в Bluetooth 5 позволяет увеличить дальность передачи в четыре раза. Это значит, что здание или помещение можно полностью покрыть. Кроме того, появляется возможность работы вне помещения не только на предприятиях, но и в коммерческой области. Этой функции не было в Bluetooth до сих пор, и расстояния были очень ограниченными. Помимо удвоенной скорости, Bluetooth 5 содержит два опциональных физических уровня под названием LE Coded PHY (кодированный физический уровень). На них скорость передачи равна 1 Мбит/с, но за счет добавле-

ния слу жебной информации скорость составляет или 500 Кбит/с (S = 2), или 125 Кбит/с (S = 8), тогда как преамбула и адрес доступа по-прежнему передаются на скорости 1 Мбит/с. На уровне LE Coded PHY используется немного другой формат пакета – в него добавлены индикатор кодирования (CI) и заголовки TERM1 и TERM2 (см. рис. 2). Использование кодированного физического уровня позволяет улучшить чувствительность приема, что увеличивает дальнос ть связи. Как правило, чувс твительность приема повышается на 4– 6 дБ при скорости передачи 500 или 125 Кбит/с. При этом часто дальность передачи увеличивается в 2–4 раза. Недостатком такого подхода является увеличение длительности приема и передачи и, соответс твенно, повышение мощнос ти потребления. В таблице 2 приведены основные параметры LE Coded PHY. Прямая коррекция ошибок и шаблоны отображения пакетов

На физическом уровне с кодированием изменена обработка потоков данных при приеме и передаче, добавлены две ступени при пересылке пакета. Применяется прямая коррекция ошибок (ПКО), чтобы приемник исправлял ошибки сразу при получении пакета. Во‑вторых, применяются шаблоны отображения пакетов (pattern mapper) для повышения эффективности. На рисунке 3 показана последовательность обработки пакетов. Блок ПКО содержит шифратор, который преобразует каждый входной бит в два выходных (см. рис. 4). Данные после шифратора преобразуются в Р‑символы в блоке отображения. Значение P зависит от выбранной схемы шифрования.

электронные компоненты  №9 2018

Беспроводные технологии

по эфиру или передача собранных за день показаний датчиков, а также уменьшает задержку и время отклика для критичных к задержке приложений, к которым относятся, например, медицинское оборудование и системы безопасности. Устройства Bluetooth 5 полностью обратно совместимы с Bluetooth 4. В наследственном режиме они используют скорость передачи 1 Мбит/с. Устройства с поддержкой Bluetooth 5 уже появляются на рынке. На рисунке 1 показан средний потребляемый ток при скорости передачи на физическом уровне 1 и 2 Мбит/с. Измерения проводились на двух СнК EFR32 Blue Gecko. Мощность передачи составляет 8 дБм, интервал соединения – 25 мс, длительность пакета – 80 и 44 мкс. Видно, что при увеличенной скорости передачи средняя мощность потребления сокращается на 15%. При использовании длинных пакетов и скорости передачи 2 Мбит/с мощность потребления сокращается на 40–50%.

25


Рис. 3. Обработка пакетов при приеме и передаче (LE Coded PHY)

При S = 2 значение P = 1. При S = 8 каждый бит кодируется четырьмя, т. е. P = 4 (см. табл. 3). При S = 2 дальность беспроводной передачи почти удваивается, при S = 8 становится в четыре раза больше. Недостатком данного подхода является увеличение нагрузки на сеть.

Рис. 4. Шифратор в блоке ПКО

Беспроводные технологии

Таблица 3. Отображение пакетов Входные данные с шифратора ПКО

Выходной бит при S = 2

Выходной бит при S = 8

0

0

0011

1

1

1100

26

Рис. 5. Сравнение потерь в свободном пространстве и на земле

www.elcomdesign.ru

Максимальная мощность передачи и алгоритм выбора канала 2

Максимальная мощность передачи в Bluetooth 5 составляет 20 дБм, тогда как в Bluetooth 4 она достигает 10 дБм. Увеличение мощности передачи приводит к изменению максимальной дальности связи. При мощности передачи 20 дБм работа с Bluetooth Low Energy становится непростой, поскольку некоторые регулирующие органы запрещают передачу сигналов мощнее 10 дБм. Bluetooth 5 предусматривает усовершенствованные алгоритмы оповещения и выбора канала, благодаря чему можно использовать больше радиочастотных каналов, чем в Bluetooth 4. Эти улучшения позволяют обойти нормативные ограничения и использовать мощность передачи выше 10 дБм, тем самым расширив дальность передачи и обеспечив более надежные соединения. Одной из новых особенностей является второй алгоритм выбора канала (CSA#2 – Channel Selection Algorithm #2). Он повышает устойчивость к интерференции приемопередатчиков Bluetooth, а также позволяет ограничить минимальное количество каналов, которое применяется в средах с высокой интерференцией. При ограничении минимального количества каналов до 15 становится возможным поднять мощность передачи выше 10 дБм. Дальность связи

Для увеличения теоретической дальности связи используется формула, позволяющая определить потери LP в свободном пространстве:


Таблица 4. Основные различия между каналами оповещения в Bluetooth 4 и 5

LP = 92,45 + 20lgF + 20lgD где F – частота, ГГц; D – расстояние, км. Эта формула, однако, не учитывает потери, вызванные многолучевостью распространения из-за отражений, и потери в антенне. Таким образом, реальный результат гораздо меньше. Более близкий к действительности результат можно получить, если рассмотреть работу в открытом пространстве при расположении антенны на h метров выше земли и рассчитать расстояние. При этом учитываются потери в антенне и отражения от земли. Такое приближение дает очень точную оценку дальности передачи в открытом пространстве. Потери для устройств, расположенных на земле, рассчитываются по формуле: ,

где h1 и h2 – высота антенн над землей; k – волновое число в свободном пространстве; r – расстояние между антеннами. Разница между потерями в свободном пространстве и потерями на земле показана на рисунке 5. Если допустить, что потери составляют 20 дБ/дек на расстоянии до dm и 40 дБ/дек на больших расстояниях, получим:

Кол-во каналов оповещения

Полезные данные

PHY

Bluetooth 4

3

0–31 байт

1 Мбит/с

Bluetooth 5

3 главных; 37 вторичных

0–31 байт (главные); 0–255 байт (вторичные)

1 Мбит/с, с кодированием (главные каналы); 1 Мбит/с, 2 Мбит/с, с кодированием (вторичные каналы)

Оповещения

Маяки предс тавляют собой небольшие Bluetoothприемопередатчики, которые могут пересылать данные любому устройству с поддержкой Bluetooth Low Energy, например смартфону или ноутбуку в зоне действия. Маяки позволяют отправлять короткие сообщения на такие устройства и осуществлять обмен сообщениями между ними. Как правило, маяки используются в торговых сетях для оповещения, сообщения о текущем положении и слежения за ресурсами. Маяки быстро стали одним из наиболее удачных приложений технологии Bluetooth. Одним из главных улучшений в Bluetooth 5 по сравнению с прежними версиями этой спецификации стало значительное изменение системы оповещений. Увеличилось количество усовершенствованных и умных маяков, появились принципиально новые способы их использования, к которым относится передача однонаправленных потоков данных для Bluetooth-оповещений. Наборы данных и сканирование

Одним из наиболее значимых улучшений в оповещениях Bluetooth 5 является создание наборов Advertising Data Sets, позволяющее устройству Bluetooth 5 отправлять множественные индивидуальные наборы данных оповещений с уникальными интервалами и данными. Например, один маяк Bluetooth может передавать индивидуальные маяки Apple iBeacon и Google Eddystone одновременно. Оповещающее устройство Bluetooth 5 может также обнаруживать запрос на сканирование, выставленный удаленным устройством, и докладывать о запросе приложению. Приложение использует запрос для обнаружения отправленного оповещения удаленным устройством. Это удобная функция, которая позволяет уменьшить мощность потребления, поскольку оповещающее устройство может определить,

Беспроводные технологии

где h1 и h2 – высота антенн над землей. Если расположить антенну выше, расстояние dm увеличится и, следовательно, увеличится расстояние, и наоборот. Эффективность антенны является значением, которое описывает общее значение излучаемой радиочастотной энергии по сравнению с энергией, поступающей в антенну. Эффективность дает более точное приближение среднего расстояния независимо от положения устройства. При оптимальной конструкции антенны эффективность может достигать –1 дБ. На практике характеристики антенны в значительной мере зависят от печатной платы и механических свойств антенны. Типичное значение эффективности при правильной конструкции составляет –5 дБ. Как правило, эффективность не превышает –8 дБ.

Стандарт

27

Рис. 6. Оповещение с использованием пакетов ADV_EXT_IND и AUX_ADV_IND

электронные компоненты  №9 2018


Беспроводные технологии

Рис. 7. Оповещение с использованием пакетов AUX_CHAIN_IND

28

Рис. 8. Периодическое оповещение

что удаленное устройство получило высланный пакет и, соответственно, остановить передачу оповещений. Вторичные каналы оповещения

Устройства Bluetooth 4 имеют три канала оповещения для широковещательной передачи, извещения о своем присутствии, установления соединений. Каждый пакет несет не более 31 байта информации. Один служебный 128‑бит UUID занимает большую часть пакета, что в некоторых случаях является серьезным ограничением. В стандарте Bluetooth 5 оно устранено. Во‑первых, три канала для оповещений, которые были в Bluetooth 4, остаются для обеспечения обратной совместимости. Однако они стали называться главными каналами оповещения. Устройства Bluetooth 5 могут использовать остальные 37 каналов данных как вторичные каналы оповещения для широковещательной передачи и разгрузки главных каналов. В таблице 4 приведены основные различия между каналами оповещения в Bluetooth 4 и 5. Вторичные пакеты оповещений

Помимо новых каналов для оповещений в Bluetooth 5 появился новый тип пакета – ADV_EXT_IND, который отправляется по главным каналам оповещения, показывая, что во вторичных каналах имеются дополнительные данные. Пакет ADV_EXT_IND содержит информацию об оповещениях во вторичных каналах, например, на каком канале будет осуществляться передача, когда и какой Bluetooth PHY будет использоваться.

www.elcomdesign.ru

В простейшем случае пакет AUX_ADV_IND высылается по вторичному каналу, как показано на рисунке 6. Пакет AUX_ADV_IND может содержать до 255 байт информации. Если оповещающее устройство хочет выслать больше данных, чем помещается в один пакет AUX_ADV_IND, посылка разбивается на несколько пакетов, которые передаются цепочкой по вторичным каналам с помощью пакета AUX_CHAIN_IND (см. рис. 7). В этом пакете также могут содержаться указатели на дополнительные пакеты AUX_CHAIN_IND, чтобы передать данные объемом больше 255 байт. Периодические оповещения

Еще одним нововведением в Bluetooth 5 является периодический режим оповещения (см. рис. 8). Из названия понятно, что периодическое оповещение позволяет высылать изменяющиеся данные через фиксированные промежутки времени. Одно или несколько сканирующих устройств слышит их. Этот режим указывается в пакетах ADV_EXT_IND, которые ссылаются на пакет AUX_ADV_IND с актуальной информацией о периодическом режиме оповещения, а именно, об интервале, последовательности перескоков, адресе оповещающего устройства и т. д. Оповещающее устройство через установленные промежутки отправляет также пакет AUX_SYNC_IND с текущими данными периодического оповещения. С заданной частотой периодически отправляются новые пакеты ADV_EXT_IND для восстановления синхронизации или для синхронизации с новыми подключенными сканирующими устройствами.


РЕКЛАМА


Согласование LVPECL-схем Филипп Уиссел (Phillip Wissell), ведущий инженер по приложениям, Integrated Device Technology

Сети и интерфейсы

LVPECL (низковольтная положительная эмиттерно-связанная логика) – известный стандарт передачи дифференциальных высокочастотных сигналов, который появился в 1970‑х гг., когда технология построения высокоскоростных ИС ограничивалась только NPN-транзисторами. Поскольку в те времена можно было реализовать только активное «подтягивание» напряжения до требуемого уровня, использовались внешние пассивные компоненты, чтобы понизить выходное напряжение.

30

В приложениях с LVPECL-логикой со связью по постоянному току внешние компоненты не только смещают напряжение выходного драйвера, но и позволяют согласовать соответствующую дифференциальную линию передачи. Однако та большая функциональная гибкость проектирования, которая достигается согласно этим двум требованиям при создании выходного каскада, может сбить с толку разработчиков, которые впервые используют LVPECL-логику: часто при большом разнообразии вариантов согласования отсутствует та основа, которая позволяет принять верное решение. В статье рассматривается выбор значений компонентов, начиная со схемы выходного драйвера и стандартного напряжения смещения величиной V CC –2 В и заканчивая согласующей цепью. Мы опишем харак терис тики и ограничения при согласовании, а также проанализируем согласование с помощью схем Т‑ и PI-типов, позволяющих сократить число компонентов и уменьшить потребляемую мощность. Кроме того, рассматривается использование приемников тактовых сигналов с собственным согласованием, выбор смещающих резисторов и разделительных конденсаторов при согласовании схемы по переменному току. Драйвер LVPECL

Упрощенная схема затвора LVPECL, как правило, реализуется с помощью драйвера с открытым эмиттером, как показано на рисунке 1. Обратим внимание на три следующих вопроса, связанных с использованием этой схемы. 1. Поскольку выходные транзисторы не включены, выходное напряжение драйвера отсутствует. а) для функционирования ключей Q и nQ каждый из них необходимо через нагрузку соединить с земляной шиной по постоянному току;

www.elcomdesign.ru

Рис. 1. Эквивалентная схема LVPECL-драйвера с открытым эмиттером

б) если использование выходного сигнала не предусмотрено, выводы ключей Q и nQ можно оставить незаземленными, что минимизирует потребляемую мощность и уменьшает шум. 2. Значения эмиттерных токов Q и nQ определяются путем выбора внешних резисторов, подтягивающих к потенциалу земли. Их величина выбирается в соответствии с уровнем напряжения VCCO, рассеиваемой мощности и амплитуды сигнала. 3. VCCO = VCC; в отличие от низковольтных КМОП (LVCMOS) ИС, величина напряжения V CCO не может быть ниже V CC при использовании приемников тактового сигнала с разными напряжениями питания. Например, при напряжениях VCC = 3,3 В и VCCO = 2,5 В выходные транзисторы входят в режим насыщения, что существенно сокращает время коммутации. Поскольку LVPECL-драйверы с дифференциальными выходами функционируют на гигагерцовых частотах, соответствующие LVPECL-приемники

должны подключаться к этим драйверам с помощью правильно согласованных линий передачи. Разработчики выбирают согласующие схемы, исходя из компромиссного решения между легкостью установки компонентов, потребляемой энергии и эффективностью функционирования. При этом необходимо всегда проверять целостность сигнала с помощью программы моделирования с учетом в т. ч. длины проводников и переходных отверстий. Согласование LVPECL-схем со связью по постоянному току

Стандартное согласование На рисунке 2 показана стандартная схема согласования линии LVPECL со связью по постоянному току. Номинальное напряжение V TT в схеме на рисунке 1 определяется с помощью закона Кирхгоффа для напряжения в контуре с V CC (= V CCO), R1, V БЭ ключа Q4 и R СОГЛ, когда у ключа Q4 – низкий логический уровень, а его эмиттерный ток равен 2 мА. V TT – синфазное напряжение на согласующих резисторах.


Преимущество от использования цепи V TT заключается в том, что изменения в напряжении источника питания не влияют на выходные токи драйвера. Особенно важно это обстоятельство для транзистора с низким логическим уровнем, т. к. препятствует его закрытию, позволяя сохранять скорость переключения устройства.

Согласование с помощью PI- и T‑цепей

Недостатки согласующей схемы Тевенина можно устранить или ослабить их влияние с помощью связанных линий передачи с резисторными цепями PI- или T‑типа, как показано на рисунке 4. Синфазный импеданс схемы PI равен 1/2R СМЕЩ , а в T‑схеме он равен

Рис. 2. Стандартная схема LVPECL-согласования

Рис. 3. Согласование LVPECL в эквивалентных схемах Тевенина с напряжением питания 3,3 и 2,5 В

Сети и интерфейсы

Схема Тевенина На практике напряжение питания V TT часто иск лючают из рассмотрения с помощью схемы согласования, показанной на рисунке 3. В эквивалентной схеме Тевенина реализовано напряжение V TT, а на каждом выходе установлен согласующий резистор величиной 50 Ом. Раздельное согласование ключей Q и nQ обеспечивает их раздельную трассировку на несвязанных линиях передачи с разными несимметричными нагрузками. У схемы согласования Тевенина имеются пять недостатков. 1. Мощность рассеивается не только из-за выходных эмиттерных токов драйвера, но и в результате использования цепи смещения и достигает 80 мВт на выходную пару при питании 3,3 В. 2. Отсутствует возможность согласования с учетом изменений значений напряжения VCCO, температуры и особенностей устройства. 3. Часть измененного напряжения VCCO непосредственно подается в эквивалентную цепь Тевенина с V T T с помощью де лите ля напряже ния – 39% при V CCO = 3,3 В и 20% при V CCO = 2,5 В. Таким образом, управление токами эмиттера, особенно при низком выходном логическом уровне, не так эффективно, как при стандартном согласовании V TT. 4. Для согласования дифференциальной линии требуются только три резистора: один – для реализации напряжения V TT и два по 50 Ом – для согласования импедансов. 5. Использование в двух сигнальных трактах ключей Q и nQ двух резисторов, подключенных к выводу приемника, усложняет схемное решение и отрицательно сказывается на его эффективности из-за паразитной емкости резистора.

31

Рис. 4. Согласующие LVPECL-цепи T- и PI-типов

1/2Z ВЫХ + R TT. Согласующий импеданс связанной линии передачи в случае цепи PI-типа обозначим как Z ДИФФ. Он равен 2Z ВЫХ . Согласующий импеданс цепи Т‑типа – 2ZВЫХ. Синфазное напряжение на резисторе R T T обозначим как V TT = VCC – 2,0 В. Эти две цепи позволяют преодолеть недостатки схемы согласования Тевенина следующим образом.

1. Исключается рассеяние мощности, обусловленное цепью смещения, поскольку схема согласования питается напрямую токами драйвера. 2. Синфазный импеданс и, следовате льн о, ко н т у р от р иц ате льн о й обратной связи можно подстраивать так, чтобы величина тока драйвера отс леживала измене ния величины напряжения V CCO ,

электронные компоненты  №9 2018


Таблица 1. Выходной ток транзистора в зависимости от согласующих резисторов для смещения в цепях T- и PI-типов Сценарий

VCCO, В

VOUT_H, В

VOUT_L, В

IСМЕЩ (мин.), мА

IСМЕЩ (сред.), мА

RСМЕЩ

RTT

3,3

2,350

1,650

2

10,9

183

67

–5% VCCO

3,164

2,214

1,514

2

11,1

165

58

–5% VCCO, наихудший случай, –40…85°С

3,164

2,074

1,289

1

11,6

142

46

2,5

1,550

0,850

2

12,7

94

22

–5% VCCO

2,375

1,425

0,725

1

11,9

91

20

–5% VCCO, наихудший случай, –40…85°С

2,375

1,285

0,500

0

14,0

64

7

Номинальное напряжение

Сети и интерфейсы

Номинальное напряжение

температуры и особенности конкретного устройства (см. табл. 1). 3. PI- и T‑цепи лучше управляют эмиттерными токами с помощью контура обратной связи по синфазному току. Например, в T‑цепи с R T T = 50 Ом и VCCO = 3,3 В величина V TT составляет 66% (2/3) от VCCO (на самом деле, немного меньше в силу разных рабочих точек выходных транзисторов), что на 60% превышает тот же показатель при использовании стандартной схемы согласования. И хотя эта разница на первый взгляд может показаться незначительной, на самом деле это не так, что заметно в случае, если рассматривается относительно малое напряжение на 50‑Ом эмиттерном резисторе ключа с низким логическим уровнем. 4. Требуются всего лишь три резистора. Это минимально количество для смещения двух выходов и согласования линии передачи. У ключей Q и nQ

каждой цепи должна быть связь, поскольку каждый из них обеспечивает параллельное согласование. 5. Рядом с каждым входом приемника LVPECL имеется только один вывод резистора, что уменьшает соответствующую паразитную емкость. В таблице 1 показано, как синфазные резисторы цепей смещения можно подобрать так, чтобы учесть вариации напряжения VCCO и особенности устройства. В качестве такого устройства была выбрана ИС 853S006 от компании IDT, у которой максимальное и минимальное значения выходного напряжения уровней LVPECL-логики задаются для определения логического нуля и единицы во всем промышленном диапазоне температуры. Рассчитываются три величины: 1) номинальное напряжение VCCO; 2) предельно допустимая величина VCCO = –5% и минимальное синфазное выходное напряжение в зависимости от особенностей изготовления устрой-

ства и температуры; 3) промежуточный случай, когда VCCO изменяется только на –5%. Минимальный ток смещения при низком логическом уровне, который обеспечивает за данную скорос ть переключения, уменьшается пропорционально, компенсируя меньшую вероятность реализации двух сценариев «–5% VCCO». Значения смещающих резисторов должны уменьшаться, чтобы соответствовать постепенному уменьшению эмиттерного напряжения выходных транзисторов. Уменьшение значений смещающих резисторов приводит к увеличению среднего тока смещения. В противовес этой тенденции сокращение минимального тока смещения IСМЕЩ уменьшает средний ток смещения. Этот эффект наблюдается при сравнении токов смещения в сценарии VCCO = 2,5 В для номинального напряжения и в случае «–5% VCCO». Для каждого из двух значений VCCO рассчитываются средние токи смещения в дифференциальной паре. Во всех случаях токи смещения растут, если VCCO = 5%. Разработчик выбирает значение резистора исходя из этих сценариев, которые наилучшим образом соответствуют предельно допустимым значениям. Согласование с помощью PI-цепи и связанные линии передачи

В цепи PI-типа со связанной линией передачи, показанной на рисунке 4, импеданс ZДИФФ заменяется эквивалентным импедансом связанной линии передачи с Z ДИФФ. В этом случае (см. рис. 5)

32

Рис. 5. Внешние согласующие PI-цепи при напряжении питания 3,3 и 2,5 В

www.elcomdesign.ru

Рис. 6. Внешние согласующие T-цепи при напряжении питания 3,3 и 2,5 В


сохраняются преимущества использования резистора RСМЕЩ, с помощью которого независимо друг от друга задаются токи смещения драйверных ключей Q и nQ, а на один вывод приемника приходится паразитная емкость только одного резистора. Такое согласование является наиболее преимущественным при терминации множества LVPECL-сигналов устройства в едином корпусе, например BGA. По сути, приемник получает то же преимущество, что и при использовании технологии LVDS. На выходах ключей Q и nQ приходится по одному резистору R СМЕЩ на линию передачи, что позволяет их легко установить на ту же сторону платы, что и LVPECLдрайвер. Это значительное преимущество с точки зрения обеспечения целостности сигнала. В некоторых устройствах во входном каскаде логики LVPECL имеются встроенные дифференциальные согласующие резисторы величиной 50 Ом с синфазным ответвлением, которое выведено на внешний вывод микросхемы и обозначено как VT. При реализации согласования с помощью PI-цепи вывод VT остается незаземленным, «плавающим». Согласование с помощью T‑цепи и связанные линии передачи

На рисунке 6 показаны две цепи Т‑типа. В рассматриваемых примерах у LVPECL-устройств с интегрированными дифференциальными согласующими резисторами величиной 50 Ом и с синфазным ответвлением вывод VT заземлен с помощью внешнего резистора RTT. LVPECL-смещение в согласующих схемах со связью по переменному току

Часто требуется, чтобы синхронизирующее LVPECL-устройство имело связь по переменному току с приемником логического устройства другого семейства и другим порогом переключения. В этом случае выходное напряжение LVPECL-логики должно по-прежнему иметь смещение по постоянному току, но при использовании блокирующих конденсаторов необходимо сместить напряжение приемника в его синфазном диапазоне и обеспечить согласующий импеданс линии передачи для LVPECLприемника. Базовая внешняя конфигурация с использованием только одного драйвера LVPECL показана на рисунке 7. Значения сопротивления смещения берутся из таблицы 1. Они рассчитываются исходя из эмиттерного тока выходного транзистора при заданном пороге переключения. Величина емкости СРАЗД вычисляется с учетом частоты тактового сигнала и величины импеданса на частоте синхронизации.

Таблица 2. Типовые значения разделительных конденсаторов в зависимости от тактовой частоты Диапазон тактовой частоты, МГц Мин.

Макс.

3700

5400

СРАЗД, пФ 220

Диапазон тактовой частоты, МГц Мин.

Макс.

370

600

СРАЗД, нФ 2,2

Диапазон тактовой частоты, МГц Мин.

Макс.

38

54

СРАЗД, мкФ 0,022

2500

3700

330

250

370

3,3

26

38

0,033

1700

2500

470

170

250

4,7

18

26

0,047

1200

1700

680

120

170

6,8

12

18

0,068

800

1200

1000

80

120

10

8

12

0,10

Выбор разделительного конденсатора С РАЗД основан на величине паразитного реактивного сопротивления, которое считается допустимым для конденсатора в сигнальном тракте. Этот максимальный импеданс произвольно задается равным 0,2 Ом, чтобы проследить зависимость между значениями стандартного конденсатора и соответствующими частотными диапазонами (см. табл. 2). Выводы

Технология LVPECL появилась еще в те времена, когда полупроводниковое производство не достигло того уровня зрелости, чтобы можно было изготавливать высокоэффективные транзисторы P‑типа совместно с транзисторами N‑типа. В результате устройства P‑типа приходилось использовать с внешними пассивными компонентами. Это требование было реализовано с помощью технологии LVPECL, а также ее предшественницы – ECL – путем понижения до нулевого потенциала с помощью внешних резисторов. Разработчикам часто бывает трудно понять, как правильно согласо вать LVPECL- с хемы из-за того, что роль согласования на завершающей с тадии проек тирования выходно го к аск а д а, к ак прави ло, никогд а не анализируетс я. Предс тав ление о согласовании LVPECL-приложений со схемотехнической точки зрения позволяет увидеть, как влияют выходные транзисторные токи, количество используемых компонентов, их номинальные значения и рассеиваемая мощность на топологию согласующих цепей LVPECL-приложений. Современные высокоэффективные устройства P‑типа позволяют создавать, например, заземленные логические HCSL- и LVDSустройства для дифференциальных линий передачи сигналов. Устройства этих семейств полностью исключают требование к напряжению V TT.

электронные компоненты  №9 2018

Сети и интерфейсы

При использовании цепи Т‑типа со связанной линией передачи, показанной на рисунке 4, вся Т‑цепь устанавливается у конца LVPECL-приемника связанной линии передачи. В этом случае на один приемный вывод приходится только один паразитный элемент резистора, а паразитная емкость резистора R T T не влияет на целостность дифференциальных сигналов, поскольку находится перед синфазным узлом V T T. Согласующая цепь Т‑типа является предпочтительным выбором в случае применения LVPECL-устройств с большим количеством разветвлений, например буфера 1:22, когда бывает трудно установить все согласующие резисторы цепи PI-типа рядом с синхронизирующим устройством.

Рис. 7. Смещение с помощью LVPECL-драйвера для нагрузок со связью по переменному току

33


Использование Ethernet в критичных к задержкам сетях Михаил Бобров, инженер

Сети и интерфейсы

Ожидается, что сети TSN заменят Ethernet в промышленных системах благодаря существенным преимуществам по временным параметрам. В промышленных системах быстродействие имеет первостепенное значение. Большое количество задач должно быть выполнено с минимальной задержкой.

Стандарт Ethernet, предложенный в начале 1980‑х гг., быстро стал очень популярным за счет высокой на тот м о м ен т пр оп уск ной спо со б но с т и 10 Мбит/с. Однако он был непригоден для приложений, работающих в реальном времени, поскольку в качестве среды передачи он предусматривал использование групповых абонентских линий. Коллизии приводили к серьезным проблемам. При следующем пересмотре стандарта коллизии были исключены с помощью коммутаторов. Кроме того, была организована приоритетность датаграмм (см. рис. 1). Для промышленных применений гарантированная задержка особенно важна. Несмотря на QoS, стандарт Ethernet, используемый в офисных сетях, гарантировал задержку только до некоторой степени, особенно при высокой загруженности сети. Это обстоятельство объясняется несколькими причинами. Главная из них обусловлена методом передачи данных с промежуточным хра-

нением в коммерческих многопортовых коммутаторах, а также невозможностью резервировать полосу пропускания. В соответствии с этим методом коммутатор получает полную датаграмму перед ее пересылкой. С одной стороны, вся обработка осуществляется в коммутаторе, однако при этом возникает риск увеличения задержки и ухудшения надежности: –– При прохождении через коммутатор датаграмма задерживается в зависимости от ее длины. Если коммутаторы установлены каскадами, этот эффект усиливается. –– Поскольку коммутатор не имеет бесконечно большой емкости хранения, он может отклонить датаграммы в случае перегрузки сети, что приводит к потере данных. –– Длинные датаграммы могут блокировать порт на сравнительно продолжительное время. Каскадирование ком му таторов представляет собой сложную задачу. Во‑первых, из-за многообразия тополо-

34

Рис. 1. Кадр Ethernet. Поля, относящиеся к TSN, выделены зеленым

www.elcomdesign.ru

гий. К числу этих топологий относятся звезда, линия, кольцо, дерево, которые используются в промышленных сетях (см. рис. 2). При правильном выборе топологии значительно сокращается длина проводных соединений и, соответственно, стоимость сети. В промышленных сетях рекомендуется использовать двухпортовые коммутаторы, работающие по сквозному принципу – они пересылают датаграммы до того, как они будут приняты целиком. Расширение возможностей промышленного стандарта Ethernet

Поскольку классический стандарт Ethernet не имеет достаточных возможностей для резервирования полосы, в 2000 г. началась работа над его расширением. Было предложено несколько следующих вариантов. –– Протоколы на основе Ethernet, автоматически осуществляющие контроль над сетью. Соединения TCP/ IP воз м ож ны только чер ез


EtherCAT, POWERLINK или отдельный канал (Sercos). Контроль полосы пропускания целиком осуществляется интерфейсной шиной. –– Протоколы, гарантирующие резервирование полосы пропускания путем временного разделения (см. рис. 3). Шина PROFINET IRT (с длительностью циклов менее 1 мс) позволяет передавать по одному кабелю данные, к которым предъявляются очень строгие требования по задержке, и данные, требования к которым менее жесткие. Передача ведется временными интервалами в соответствии с очень точной моделью тактирования. –– Протоколы, основанные на передаче по одному кабелю Ethernet. В них используется служба QoS. Протоколы этого типа хорошо пригодны для промышленных приложений и систем автоматизации. В качестве примера можно привести PROFINET RT и EtherNet/IP. Поскольку эти протоколы ограничены по задержке (время цикла превышает 1 мс), требуются специальная аппаратная поддержка и специализированные ИС. Передача по PROFINET RT и EtherNet/IP осуществляется с помощью встроенных двухпортовых коммутаторов со сквозной передачей.

Рис. 2. Наиболее распространенными топологиями в системах автоматизации являются линия и кольцо

Рис. 3. Устройства, вносящие задержку

Сети и интерфейсы

35

Рис. 4. Соединение сегмента TSN с PROFINET и EtherCAT

электронные компоненты  №9 2018


Сети TSN

Для чувствительных к задержкам сетей (TSN) были разработаны расширения стандарта Ethernet в соответствии с IEEE 802.1, которые позволяют обойти ограничения основной редакции стандарта. Так, стандартизация второго слоя семислойной модели ISO обеспечила совместимость между Ethernet и системами жесткого реального времени. Расширение 802.1AS-rev TSN определяет унифицированный метод синхронизации распределенных в сети часов. В системах жесткого реального времени допустимо использование одного кабеля для передачи не только чувствительных к задержке сигналов, но и всех остальных сигналов. В этом отношении системы TSN и PROFINET IRT похожи. В свою очередь, TSN требует расширенной настройки сети. Возможны два варианта: централизованный и децентрализованный. В дальнейшем будет обеспечено взаимодействие между этими конфигурациями. Практические преимущества

Как известно, технологии постепенно дешевеют, находя все более широкое применение. Через некоторое время то же самое произойдет с TSN, когда эти сети станут широко применяться в промышленных системах автоматизации. Наиболее важным техническим преимуществом TSN над Ethernet является масштабируемость. В отличие от существующих промышленных сетей, стандарт TSN не определен для заданных скоростей передачи. Сеть TSN можно использовать для передачи на разных скоростях, например, 10 Мбит/с, 100 Мбит/с, 1 Гбит/с и 5 Гбит/с. Это позволяет оптимизировать топологии так, чтобы в каждом сегменте выбирались свои адаптированные скорости. При этом транспортный слой во всех сегментах один и тот же – слой 2 IEEE802.1/TSN. Унифицированную инфраструктуру сети проще поддерживать и настраивать. Благодаря этому устройства TSN можно

Сети и интерфейсы

36

использовать в других сферах применения, например в строительстве, обработке, автоматизации производства и в системах распределения энергии. Взгляд в будущее

По мере распространения стандарта TSN будет возникать вопрос о том, как обеспечить безопасный переход с существующих сетей Ethernet к TSN. Он должен быть как можно менее заметным для потребителей. Переход займет, возможно, десятилетия, из-за большого числа промышленных протоколов (PROFINET, EtherNet/IP, EtherCAT и т. д.). Все производители промышленного оборудования Ethernet предлагают модели взаимодействия между существующими сетями и устройствами TSN. Интерфейс реализуется с помощью шлюза Sercos и адаптера EtherCAT, хотя в некоторых случаях специальное оборудование не требуется. На рисунке 4 эта ситуация иллюстрируется на примере PROFINET RT. В ближайшем будущем планируется разработать полные версии протоколов PROFINET и EtherNet/IP для использования в TSN-сетях в качестве второго уровня. Благодаря этому становится возможным постепенный переход к TSN. Выводы

В новых промышленных системах уже достаточно широко используются чувствительные к задержкам сети, причем их сегменты могут подключаться к существующим сетям Ethernet. Таким образом, конкуренция среди промышленных стандартов усиливается – на первый план выходит унифицированная архитектура OPC с новым транспортным протоколом PUB/SUB, а также TSN. Для производителей полевых устройств это значит, что в стандартные решения Ethernet следует включить поддержку TSN и других новых стандартов.

СОБЫТИЯ, ЛЮДИ

| Новые решения компании NXP Semiconductors на семинаре NXP TechDay |

9 октября в Москве состоится совместный семинар компании NXP Semiconductors и группы компаний «Симметрон» – NXP TechDay. NXP выпускает широкий ряд продукции, предназначенной для индустриальной и автомобильной отраслей, и занимает лидирующие позиции на рынке полупроводниковых компонентов. Участники мероприятия смогут узнать о новинках NXP, дальнейших планах развития и новых направлениях деятельности компании. Кроме того, можно будет пообщаться с представителями NXP и инженерами, отвечающими за техническую поддержку по всем продуктовым группам, а также посетить выступления специалистов. Доклады будут посвящены следующим темам. -- Микроконтроллеры на ядрах ARM Cortex-M. Новое семейство i.MX RT на ядре Cortex-M7. План развития семейств LPC и Kinetis. Развитие единой экосистемы. -- Бюджетные Linux-решения. Семейства i.MX 6UL/ULL. -- Микропроцессоры для графических приложений с поддержкой Linux, Android, Windows. Новое семейство i.MX 8. Будущее продуктовой линейки i.MX. -- Высокопроизводительные микропроцессоры семейства QorIQ для сетевых и промышленных приложений. -- Новинки для автомобильных приложений: ADAS, кузовная электроника, системы контроля давления в шинах, решения для сетей CAN, LIN, Ethernet. -- Компоненты вокруг вычислительного ядра: интерфейсные микросхемы, организация питания на плате. Новый взгляд на привычные вещи. -- Решения для беспроводной передачи данных M2M и IoT. Сети нового поколения, большой радиус действия при низком энергопотреблении. BLE, Thread, ZigBee. -- NFC – технология для простого и безопасного взаимодействия устройств с окружающим миром. -- MEMS. Интеллектуальные высоконадежные датчики. Место проведения конференции: Москва, Ленинградский пр., д. 31 А, отель «Ренессанс Москва Монарх Центр». Для участия в мероприятии необходимо зарегистрироваться по ссылке: https://symmetron-techday.timepad.ru/event/709531.

www.elcomdesign.ru


Синхронные выпрямители Вячеслав Любимов, инженер Синхронное выпрямление (СВ) применяется в DC/DC-преобразователях, когда требуется, чтобы выходное напряжение не превышало 5 В, а ток был высоким. В СВ используются силовые MOSFET для выпрямления выходного напряжения силового трансформатора. В статье рассматриваются основные схемы синхронного выпрямления, а также практические вопросы его применения [1–2]. для зарядки и разрядки емкости затвора. При заданной коммутационной частоте величина напряжения и емкости определяют требуемую (рассеиваемую) мощность в емкости следующим образом (1): P = V2∙F∙C = QЗАТВ∙V∙F, (1) где P – мощность, Вт; V – напряжение, В; F – частота, Гц; QЗАТВ – заряд затвора, Кл. Из -за этих рас ходов мощнос ти у DC/DC-преобразователей с синхронным выпрямлением наименьший КПД при минимальной нагрузке (как правило, составляющий 10–30% от полной нагрузки), а максимальный КПД равен 60–90%. Чтобы уменьшить расходуе мую мощно с ть при м ини ма льной нагрузке, некоторые производители DC/DC-преобразователей используют дополнительную схему для отключения синхронных выпрямителей MOSFET. Эта схема, измеряющая выходной ток преобразователя и позволяющая паразитным диодам выпрямителей или внешним диодам Шоттки осуществлять выпрямление, подчас создает больше проблем, чем решает их. Кроме того, ее использование повышает стоимость и уменьшает среднюю наработку преобразователя на отказ.

Синхронное выпрямление в прямоходовых преобразователях

Схема синхронного выпрямления, показанная на рисунке 1, управляется напрямую вторичной цепью силового трансформатора. Это самая простая, но и наименее эффективная схема СВ по той причине, что паразитные диоды MOSFET включаются до подачи напряжения затвора во вторичной цепи и в мертвое время коммутационного цикла. Чтобы уменьшить рассеиваемую мощность на паразитных диодах, применяются диоды Шоттки, установленные параллельно паразитным диодам MOSFET. Схему СВ, показанную на рисунке 1, можно использовать при низких выходных напряжениях (в диапазоне 2,5–5 В) и в диапазоне входного сигнала 2:1. При более высоком выходном напряжении (>5 В) требуется дополнительная схема, ограничивающая сигнал управления затвором во вторичной цепи и уменьшающая максимальное значение напряжения затвор–исток на конкретном MOSFET. Синхронное выпрямление с ФАПЧ

Синхронное выпрямление с ФАПЧ является более эффективным по срав-

И с т о ч н и к и и м о д у л и п и та н и я

Силовые MOSFE T, синхронизуе мые с частотой преобразователя, осуществляют выпрямление выходного напряжения эффективнее диодов благодаря малому падению в канале напряжения I∙R. У силового N‑канального MOSFET – наименьшее значение сопротивления в открытом состоянии. Кроме того, стоимость этих ключей сравнительно невысока. Чтобы уменьшить значение сопротивления канала MOSFET в открытом состоянии, производители полупроводников параллельно соединяют много ключей с более высокими значениями R DS(ON). При этом уменьшается не только R DS(ON) образовавшегося канала, но и параллельно соединяются паразитные емкости MOSFET. У современных N‑канальных MOSFET величина сопротивления в открытом состоянии составляет 5–10 мОм, напряжение сток–исток – 30 В, а емкость затвора – 3300–6800 пФ. Сравнительно большая паразитная емкость силового ключа ограничивает его применение при коммутационной частоте ниже 1 МГц, а для переключения требуются высокоскоростные сигналы управления затвором большой амплитуды до 15 В. Мощность, необходимая для переключения MOSFET, определяется мощностью

37

Рис. 1. Схема синхронного выпрямления с автоматическим включением MOSFET в функции вторичного напряжения

электронные компоненты  №9 2018


И с т о ч н и к и и м о д у л и п и та н и я

Рис. 2. Сигналы управления поступают из первичной цепи в драйверную цепь MOSFET

38

нению с самоуправляемым СВ, но его реализация еще дороже и требует больше места на печатной плате из-за дополнительных компонентов. В схеме синхронного выпрямления с ФАПЧ имеется дроссель, который фиксируется на частоте преобразователя и генерирует требуемые сигналы управления ключом. Достоинствами схемы СВ с ФАПЧ является обеспечение большой гибкости проектирования и отсутствие необходимости в использовании ограничителей напряжения.

щий трансформатор или высоковольтный конденсатор, поступают на входы сдвоенной драйверной цепи MOSFET, как показано на рисунке 2. Корректно выбранная величина задержки на распространение является определяющей для сигнала синхронизации, поскольку позволяет избежать появления сквозн о й пр о в од и м о с т и т р анз и с тор о в схемы СВ.

Синхронизация с помощью первичной цепи

Чтобы уменьшить величину высокого напряжения, генерируемого из-за индуктивностей рассеяния в трансформаторе, используются размагничивающие обмотки, «снабберы» или активное ограничение. Размагничивающие обмотки, ограничивающие

Блок ШИМ в первичной цепи преобразователя используется как генератор сигналов для управления выходным напряжением схемы СВ. Эти сигналы, проходящие через изолирую-

Прямоходовой преобразователь с активным ограничением и СВ

Рис. 3. Прямоходовой преобразователь с активным ограничителем

www.elcomdesign.ru

напряжение сток–исток первичной цепи ключа, применяются в преобразователях с коэффициентом заполнения не больше 0,50. Снабберы используются в преобразователях с любым значением коэффициента заполнения, но они рассеивают всю энергию, запасенную в их конденсаторах. В прямоходовых преобразователях с активным ограничением применяется, как правило, MOSFET для ограничения напряжения сток–исток первичной цепи ключа до заданного уровня за счет тока намагничивания, циркулирующего в сердечнике во время сброса. Активное ограничение обеспечивает следующие преимущества: снижает напряжение сток–исток первичной цепи ключа, уменьшая мощность VDS∙IP, и минимизирует период мертвого времени прямоходового преобразовате-


ного ограничителя напряжение прямоугольного сигнала генерируется на обоих концах вторичной цепи T1. На рисунке 4 показан вариант применения снаббера, который устанавливается между точками А и Б в отсутствие активного ограничителя. СВ в режиме непрерывной проводимости

Рис. 4. В отсутствие активного ограничителя устанавливается снаббер между точками А и Б

ля. Однако при этом также требуется дополнительная цепь и тщательно рассчитанный временной режим. Пример прямоходового преобразователя с активным ограничением приведен на рисунке 3. Заметим, что из-за актив-

Рассмотрим практические примеры использования схем синхронного выпрямителя. В AC/DC-адаптерах часто используется обратноходовая топология с выпрямительным диодом Шоттки. Замена диода Шоттки синхронным выпрямителем позволяет увеличить КПД примерно на 2–3%, а также уменьшить габариты решения за счет отказа от теплоотвода, требуемого для диода Шоттки.

Индуктивность корпуса MOSFET в режимах CCM и CrM

На переключение тока во вторичной цепи всегда требуется некоторое время, расходуемое для увеличения или уменьшения амплитуды, которое определяется отношением витков обмоток трансформатора и индуктивностью. Индуктивность корпуса MOSFET влияет на время выключения тока во вторичной цепи. Как только ток во вторичной цепи начинает менять полярность и ключ запирается (см. момент t1 на рисунке 7), из-за индуктивности корпуса ключа L S к измеряемому напряжению VDS добавляется мгновенное напряжение VLK, что видно из уравнений (1–2):

,

Рис. 6. Принцип работы контроллера СВ

, (2)

электронные компоненты  №9 2018

И с т о ч н и к и и м о д у л и п и та н и я

Рис. 5. Типовая структурная схема обратноходового источника питания, используемого в быстрых зарядных устройствах

На рисунке 5 показано, как используетс я контроллер СВ д ля управ лени я к лючом в AC / D C-а д аптер е. В рассматриваемом случае обратноходовой контроллер работает в режимах критической проводимости (CrM), непрерывной проводимости (CCM) или прерывистой проводимости (DCM). Когда адаптеры работают в режиме непрерывной проводимости при запуске или полной нагрузке, ток в ключе синхронного выпрямителя не должен спадать до нулевого значения при замыкании ключа в первичной цепи. Следовательно, необходимо очень быстро выключать синхронный выпрямитель во избежание проникновения тока из первичной во вторичную цепь, поскольку могут появиться всплески напряжения и повредиться устройство. Чтобы этого не произошло, напряжение затвора ключа СВ регулируется так, чтобы напряжение сток–исток было неизменным. Поскольку по мере уменьшения тока в режиме непрерывной проводимости напряжение на затворе VG падает, ключ работает в линейном режиме (см. рис. 6). Следовательно, когда, наконец, знак напряжения меняется на обратный, драйвер очень быстро выключается при низком значении VG, благодаря чему обеспечивается безопасная работа в этом режиме. Такой метод управления является очень надежным, поскольку он не зависит от состояния линейного входа. Кроме того, время проводимости внутреннего диода минимизируется таким образом, чтобы КПД был оптимальным. Например, некоторые контроллеры поддерживают не только режим CCM, но также DCM и CrM.

39


И с т о ч н и к и и м о д у л и п и та н и я

Рис. 7. Различия между сигналами выключения из-за влияния индуктивности корпуса

40

где VDS – напряжение сток–исток; IDS – ток сток–исток; V LK – мгновенное напряжение; L S – индуктивность рассеяния; VD – напряжение на стоке; VOUT – выходное напряжение; VINdc – входное усредненное напряжение постоянного тока; n – коэффициент трансформации. У MOSFET-ключей индуктивность корпуса TO220 достигает 6,4 нГн при 100 кГц, а величина VLK – нескольких сотен мВ, что превышает порог срабатывания контроллера СВ, после чего затвор закрывается (начиная с момента времени t1). Поскольк у t 1 – начальный момент выключения, индуктивность корпуса позволяет предотвратить сквозной ток. В зависимос ти от с хемной реализации наблюдаются разные сигналы выключения в режиме непрерывной проводимости (см. рис. 7а и 7б). Из рисунка 7а видно, что в какой-то момент ток резко начинается спадать до нуля, но синхронное выпрямление полностью не прекращается. Следовательно, имеется возможность появления сквозной проводимости. На рисунке 7б видно, что контроллер СВ может отключиться до того момента, когда ток вторичной цепи станет равным нулю (t2). Эта схема является оптимальной. Из рисунка 7в видно, что в режиме CrM контроллер СВ отключается, если ток во вторичной цепи становится почти нулевым. Это значит, что в таком случае всегда имеется обратный ток dI/dt∙TOFF. Если у MOSFET намного меньше индук тивность корпуса (например, в случаях использования корпусов QFN или SOIC), контроллер СВ запирает затвор позже. Даже при меньшем напряжении на затворе при управлении напряжением V DS обратный ток выше, чем у к люча с большей индуктивностью корпуса. На это обстоятельство не влияет управление напряжением V DS. Перечислим несколько способов улучшить результаты проектирования.

www.elcomdesign.ru

–– Выбираются ключи для СВ с очень малым зарядом затвора QG (чтобы ускорить время выключения). –– Ус т а н а в л и в а е т с я с н а б б е р н а я RC-цепочка на ключ СВ (для нейтрализации обратного пикового отклонения). –– Используютс я контрол леры СВ с высоким номинальным током выключения. –– Увеличивается индуктивность рассеяния трансформатора, чтобы замедлить скорость изменения тока dI/dt во вторичной цепи (за счет большего всплеска напряжения ключа в первичной цепи) или замедляется скорость включения MOSFET в первичной цепи (за счет небольшого уменьшения КПД). –– Используется контроллер СВ с более высоким регулируемым напряжением. При более высоких значениях VDS напряжение на затворе VG уменьшается гораздо быстрее, что ускоряет момент выключения. Влияние звона

Пр и в к люч е н и и и в ык люч е н и и MOSFET всегда возникает звон, обу-

с ловленный наличием паразитной индуктивности схемы, паразитными емкостями компонентов и т. д. Если не приняты соответствующие меры, звон может уменьшить эффективность решения и даже привести к серьезным проблемам. Пример ложного вк лючения, вызванного звоном, показан на рисунке 8. По мере уменьшения тока во вторичной цепи до нулевого значения на кривой напряжения VDS ключа в первичной цепи наблюдается провал, свидетельствующий о резонансе, который вызван главной индуктивностью трансформатора и емкостью CDS ключа. Этот резонанс передается во вторичную цепь. Как правило, пиковое значение резонанса не достигает нулевого уровня, но в некоторых случаях оно становится равным пороговому значению включения контроллера СВ. Так, например, происходит из-за обратного восстановления диода в RCD-снаббере первичной цепи. Поскольк у скорость нарастания напряжения V DS всегда ниже фактической скорости выключения (благодаря

Рис. 8. Сигнал контроллера СВ с ложным включением из-за размагничивающего звона


Рис. 9. Контроллер MP6908 и схема идеального диода в низкой и высокой сторонах

большой величине основной индуктивности), контроллер MP6908 компании MPS, например, использует вывод для программирования этого параметра, чтобы отличить действительное выключение от резонансного уменьшения величины V DS (см. рис. 8).

Литература 1. Application Note DC‑006. DC/DC Converters. Beta Dyne//www. betadynepower.com. 2. Zhihong Yu and Walter Yeh. What They Don’t Teach About Synchronous Rectifiers in School – Selected Topics from Real Designs. Bodo’s Power Systems. May. 2018//www.bodospower.com.

Упрощенная замена диодов Шоттки

НОВОСТИ ТЕХНОЛОГИЙ И с т о ч н и к и и м о д у л и п и та н и я

| На выставке электроники IFA 2018 показали телевизор с экраном 8К |

Перспективные телевизоры с разрешением 8 К представила японская компания Toshiba. Компания пока не готова к производству таких ТВ, но прототип все же показала. Устройство с диагональю 65 дюймов обеспечивает охват 99% цветового пространства DCI-P3, поддерживает HDR10 и Dolby Vision. В новом телевизоре имеется адаптер Wi-Fi и оболочка с быстрым доступом к наиболее востребованным сетевым стриминговым сервисам и кинотеатрам. Кроме того, телевизор поддерживает стандарт HDMI 2.1, позволяя преобразовывать видеопоток разрешением 4 К в 8 К. О сроках выпуска Toshiba пока ничего не сообщает.

41

www.russianelectronics.ru

РЕКЛАМА

Хотя преимущества синхронного выпрямителя не подлежат сомнению, замена диода Шоттки в существующей схеме драйверами СВ и ключом сопряжено с добавлением нескольких компонентов, необходимостью учета дополнительных требований и т. д. В качестве альтернативы можно предложить интеграцию СВ MOSFET в ИС драйвера синхронного выпрямления в новом корпусном исполнении и использование совершенно иной схемы с минимальными изменениями в перечне компонентов (см. рис. 9). Такое решение называется идеальным диодом. Перечислим преимущества новых идеальных диодов: -- минимальное изменение перечня компонентов и занимаемого места на печатной плате; -- упрощенная замена диодов Шоттки, не требующая дополнительной обмотки трансформатора; -- оптимизированный интегральный драйвер затвора; -- ключ, оптимизированный под разные уровни мощности и номинального напряжения; -- корпуса со сквозными отверстиями и под поверхностный монтаж. ИС контроллера MP6908 для синхронного выпрямления – одна из последних новинок компании MPS. На основе этой ИС появится серия идеальных диодов. Перечислим некоторые особенности этого контроллера: -- отсутствие необходимости в дополнительной обмотке для выпрямления; -- работа в квазирезонансном режиме, режимах непрерывной и прерывистой проводимости; -- широкий диапазон выходного напряжения до 0 В (даже при закороченной выходной цепи схема СВ продолжает получать питание, а внутренний диод ключа никогда не проводит ток короткого замыкания); -- обнаружение звона предотвращает ложное включение; -- задержка на распространение очень мала – 15 нс, а задержка при выключении равна 30 нс.

электронные компоненты  №9 2018


Безындуктивные DC/DC-преобразователи Олег Разумовский, инженер

И с т о ч н и к и и м о д у л и п и та н и я

В статье рассмотрены незаслуженно забытые, по мнению автора, безындуктивные DC/DC-преобразователи на основе переключаемых конденсаторов. Кратко описаны основные топологии: делитель выходного напряжения, удвоитель выходного напряжение и инвертирование выходного напряжения.

42

Безындуктивные преобразователи (БП), основанные на схеме зарядового насоса (Charge pump), или, как иногда говорят, с подкачкой заряда, известны довольно давно. Однако до сих пор нельзя утверждать, что они получили широкое распространение. Возможно, «вина» за это лежит на традиционных индуктивных DC/DC-преобразователях, прогресс которых в последние годы очевиден. Тем не менее, совершенствуются и безындуктивные DC/DC-преобразователи, а недавно компания Analog Devices создала некий симбиоз – контроллер LTC7821 c топологией безындуктивного преобразователя и… выходным дросселем. К лассиче ск и е б ез ын д у к т ив ны е преобразователи основаны на схеме с перек лючаемыми конденсаторами. Коэффициент передачи входного напряжения зависит от числа каскадов. Нерегулируемый однокаскадный преобразователь без обратной связи может работать в режимах удвоения, инвертирования или деления входного напряжения в соотношении 1:2. В случае использования в преобразователе обратной связи по напряжению можно фиксировать постоянное выходное напряжение при изменении входного напряжения. Кратко рассмотрим каждый из перечисленных выше режимов работы БП. На рисунке 1 приведена схема удвоения напряжения. Показанная на схеме обратная связь по напряжению является опцией. В фазе заряда «плавающего» (не связанного с земляной шиной) конденсатора С1 замкнуты ключи S2 и S3, а ключи S1 и S4 разомкнуты. В этой фазе конденсатор подключен параллельно сети и заряжается до напряжения V DC . В фазе разряда конденсатора С1 наблюдаем обратную картину – замкнуты ключи S1 и S4, и разомкнуты ключи S2 и S3. В этой фазе образуется последовательная цепь: источник напряжения, конденсаторы С1 и С2.

www.elcomdesign.ru

Рис. 1. Схема удвоения напряжения, обратная связь по напряжению

Рис. 2. Схема удвоения напряжения без связи с VDC в фазе разряда


Рис. 3. Инвертирующая топология

Уравнение Кирхгофа для этой цепи выглядит следующим образом: VDC + VC1 – VC2 = 0. Отсюда получаем: VC2 = VOUT = VDC + VC1 = 2VDC.

Рис. 4. Схема выходного каскада делителя напряжения

понижающий контроллер, в выходном каскаде которого используется сглаживающий фильтр с дросселем, как и в традиционном DC/DC-преобразователе (о т с ю д а и т е р м и н « г и б р и д н ы й » в названии контроллера). Однако ве личина инд ук тивно с ти дро ссе ля невелика – всего лишь 2 мкГн при выходном токе до 20 А. В традиционном DC/DC-преобразователе при таком токе потребовался бы дроссель существенно большей величины. Рабочая частота контроллера находится в пределах 200–1500 кГц Заметим, что в регулируемых контроллерах и преобразователях регулирование напряжения достигается за счет контроля заряда «плавающего» конденсатора в фазе заряда. Величина напряжения на этом конденсаторе регулируется путем изменения длительности открытия одного или двух ключей, через которые происходит заряд конденсатора от первичного ис точника питания. Врем я заряда

Рис. 5. Зависимость КПД решения от тока зарядки

электронные компоненты  №9 2018

И с т о ч н и к и и м о д у л и п и та н и я

При топологии, показанной на рисунке 1, источник напряжения V DC непосредственно связан с нагрузкой. Если по каким-либо причинам желательно избежать этой связи, можно использовать другую топологию, показанную на рисунке 2. В ней используются два «плавающих» конденсатора С1 и С2. В фазе заряда замкнуты ключи S1–S4 (см. рис. 2а), ключи S5–S7 разомкнуты, конденсаторы С1 и С2 при такой коммутации соединены параллельно и заряжаются от сети до напряжения VDC. В фазе разряда ключи S1–S4 размыкаются, замыкаются ключи S5–S7, и схема приобретает вид, показанный на рисунке 2б. Конденсаторы С1 и С2, каждый из которых заряжен до напряжения сети V DC , соединяютс я пос ледовательно, их напряжения суммируются. Эти конденсаторы подключаются параллельно СOUT и заряжают его до напряжения 2VDC. На рисунке 3 показана инвертирующая топология БП. Схема крайне проста: в фазе заряда замкнуты ключи S1 и S3, при этом конденсатор С1 заряжается до напряжения сети V DC . В фазе разряда замкнуты ключи S2 и S4, а ключи S1 и S3 разомкнуты. При этом конденсаторы С1 и С2 образуют параллельную, не связанную с входной сетью цепочку, что позволяет подключить положительно заряженную обкладку конденсатора к земляной шине. Таким образом, обеспечивается равенство VOUT = –VDC. На рисунке 4 показана схема выходного каскада делителя напряжения. В фазе заряда замкнуты ключи S1 и S3, а ключи S2 и S4 разомкнуты; при этом конденсаторы С1 и С2 подключены последовательно к сети VDC. В фазе разряда замкнуты ключи S2 и S3, а ключи

S1 и S3 разомкну ты. Конденсаторы С1 и С2 подключаются параллельно и не связаны с входным источником. Из рассмотренных примеров следует, что любой из описанных выше режимов работы можно реализовать путем изменения временной диаграммы работы коммутирующих ключей и выбора виртуальной земли выходного напряжения. Это обстоятельство позволяет создать повышающе-понижающие БП, а также использовать один и тот же контроллер БП для работы в разных режимах. Например, компания Analog Devices относительно недавно разработала нерегулируемый (без обратной связи по напряжению) контроллер LTC7820, который в зависимости от входного напряжения работает либо в режиме делителя 1:2, либо в режиме инвертора, либо как удвоитель входного напряжения. В результате диапазон входных напряжений изменяется в широких пределах 6–72 В. Кроме того, в широком диапазоне 100–1000 кГц может изменяться и рабочая частота. Поскольку контроллер LTC7820 не имеет обратной связи по напряжению, выходное напряжение зависит от входного. Еще одной любопытной разработкой этой же компании является регулируемый контроллер LTC7820 с обратной связью по напряжению. Это гибридный

43


плавающего конденсатора зависит от выходного импеданса источника напряжения и сопротивлений открытого канала ключей, через которые протекает зарядный ток. Если суммарный импеданс велик, время заряда увеличится, и придется уменьшить рабочую частоту, что может негативно сказаться на пульсациях выходного напряжения. Прак тически все компании, выпускающие с тандартные индукт и в н ы е D C / D C- п р е о б р а з о в а т е л и , производят и безындуктивные преобразователи: Analog Devices, Maxim Integrated, Microchip, Texas Instruments. В производственных линейках этих компаний можно найти БП практически для любых нужд. Мы со в е т уе м н е пр е н е бр е г ат ь этими незаслуженно забытыми DC/DC-преобразователями. Наилучшим образом их можно использовать в качестве преобразователя для шин с напряжением 12 В, 24 В (27 В) и 48 В. В некоторых случаях эти устройства

в состоянии конкурировать и за место POL-преобразователя. К основным преимуществам БП следует отнести меньшие габариты благодаря отсутствию дросселя и меньшее, по сравнению с индуктивными преобразователями, излучение электромагнитных помех. Таким образом, упрощаются проблемы с обеспечением электромагнитной совместимости. Преобразователи, использую щие топологию с перек лючаемыми конденсаторами, имеют хорошую перспек тиву и д ля использования в зарядных устройствах. В этом случае не предъявляются строгие требования к пульсации выходного напряжения к нагрузочным и линейным характеристикам. В качестве примера можно привести микросхему контроллеров зарядного устройства bq25970/2971 компании Texas Instruments. Она предназначена для использования в USB PD для заряда литиевых аккумуляторов. Контроллер управляет выходным каска-

дом на основе топологии, показанной на рисунке 4 [1]. Зависимость КПД всего решения от тока зарядки I BAT показана на рисунке 5. Как видно из рисунка, значение КПД во всем диапазоне рабочих токов весьма велико и не уступает решению с обычными индукторными DC/DC-преобразователями. Контроллер оптимизирован для работы с коэффициентом заполнения 0,5. В контроллеры bq25970/2971 интег р ир о в ан 12‑ р азр я д ный АЦП д л я измерения входного и выходного напряжений, напряжения, тока зарядки и температуры батареи, а также собственной температуры контроллера. Кроме того, в контроллер встроена защита от перенапряжения и от превышения током установленных пределов. Программирование контролера осуществляется через интерфейс I2C. Литература 1.  T h e a r c h i t e c t u r e o f a s w i t c h e d capacitor charger with fast charging and high efficiency//www.ti.com.

НОВОСТИ ТЕХНОЛОГИЙ

И с т о ч н и к и и м о д у л и п и та н и я

| Компания Huawei представила процессор Kirin 980 для мобильного искусственного интеллекта |

44

Этот первый 7‑нм коммерческий кристалл, который характеризуется высокой производительностью и эффективностью, оснащен двойным модулем нейронных вычислений. Представители компании Huawei утверждают, что 7‑нм техпроцесс, разработанный TSMC, позволяет разместить в Kirin 980 6,9 млрд транзисторов на площади 1 кв.см, что в 1,6 раза превосходит возможности процессора предыдущего поколения. По сравнению с 10‑нм техпроцессом, новая технология позволяет на 20% повысить производительность и на 40% – эффективность. Процессор Kirin 980 получил ядра на основе Cortex-A76, мощность которых на 75% выше, а эффективность на 58% превосходит показатели процессоров предыдущего поколения. Подсистема процессора Kirin использует интеллектуальный механизм Flex-scheduling, создающий трехуровневую архитектуру энергоэффективности, которая содержит два высокопроизводительных ядра на основе Cortex-A76, два энергоэффективных ядра на основе Cortex-A76 и четыре ядра наиболее энергоэффективных Cortex-A55. Эта технология поручает срочные и ресурсоемкие задачи самым производительным ядрам, большим энергоэффективным ядрам – менее сложные действия, а самым экономичным отдает рутинные задачи. Процессор Kirin 980, работающий на более высоких тактовых частотах по сравнению с предыдущим поколением, быстрее загружает приложения, улучшает многозадачность и повышает удобство использования устройств. Huawei интегрировала в процессор графический модуль Mali-G76, обеспечивающий яркие игровые впечатления. Модуль Mali-G76 позволяет повысить производительность на 46% и на 178% – энергоэффективность по сравнению с устройствами предыдущего поколения. Mali-G76 получил также инновационную технологию повышения тактовой частоты, которая использует искусственный интеллект для идентификации игровых задач и распределяет ресурсы для оптимальной производительности. Двойной нейронный процессорный модуль повышает эффективность выполнения интеллектуальных задач. Совместная работа двух NPU позволяет более чем в два раза повысить производительность при распознании изображений. Процессор Kirin 980 распознает до 4600 изображений в минуту, что на 120% больше по сравнению с устройствами предыдущего поколения. Kirin 980 поддерживает общие платформы искусственного интеллекта, к которым относятся Caffee, TensorFlow и TensorFlow Lite, и оснащен набор инструментов, упрощающих разработку решений на устройстве. Это позволяет разработчикам с легкостью использовать весь потенциал двойного нейронного модуля. Huawei интегрировала в процессор собственную систему стабилизации изображений 4‑го поколения (ISP). Эта система, поддерживающая многокамерные конфигурации, оснащена технологией воспроизведения цвета в режиме HDR, которая управляет контрастом изображения, подсвечивая объекты в разных частях кадра. Кроме того, процессор Kirin 980 позволяет с высокой точностью удалять дефекты изображения, не повреждая его деталей, благодаря чему повышается качество снимков, сделанных при низком освещении. Еще одна функция ISP – отслеживание движения. С ее помощью ISP распознает движущиеся объекты с точностью 97,4%, позволяя пользователю с легкостью фиксировать динамичные сцены. Кроме того, в Kirin 980 используется технологическая цепочка обработки видеокадров, которая уменьшает задержку обработки на 33%. Чтобы обеспечить мобильным устройствам на базе Kirin 980 максимальную скорость работы в беспроводных сетях, компания Huawei интегрировала в него модем с поддержкой LTE Cat.21 с пиковой скоростью скачивания 1,4 Гбит/с. Кроме того, Kirin 980 получил поддержку агрегации несущих частот разных диапазонов. www.russianelectronics.ru

www.elcomdesign.ru


Проектирование обратноходового преобразователя для работы в режиме прерывистых токов Робин Бенас (Robin Benas), технический директор, ICE Components

Как известно, современные трансформаторы должны работать на частотах вплоть до нескольких сотен кГц. Их рабочее напряжение выросло, а размеры сократились. С появлением импульсных источников питания возникла необходимость в использовании более высокочастотных материалов сердечников, корпусов меньшего размера, в повышении плотности мощности и электрической прочности изоляции. По сравнению с трансформаторами предыдущих поколений теперь инженерам приходится учитывать возможность коронного разряда, поверхностный эффект и эффект близости. В статье подробно рассматривается практический пример разработки с учетом почти всех факторов, обеспечивающих реализацию эффективного и недорогого трансформатора.

Постановка задачи

Топология

Этот трансформатор в обратноходовом преобразователе с двумя 1,5‑кВ MOSFET предназначен для питания нескольких 28‑В выходов и одного 24‑В входа для управления вентилятором. Схема преобразователя построена на основе указаний по применению SNVA716 от Texas Instruments, опубликованных в июле 2014 г. Принцип работы силового преобразования

Принцип раб оты обратноходо вого преобразователя с двумя ключами с хож с функционир ов анием

И с т о ч н и к и и м о д у л и п и та н и я

Необходимо разработать силовой трансформатор для источника питания, функционирующего в режиме прерывистых токов. При этом требуется, чтобы уровень входного напряжения был высоким, коммутационная частота – умеренно высокой, КПД – максимальным, имелась отличная связь между обмотками (минимальная индуктивность рассеяния), соблюдались жесткие ограничения на повышение рабочей температуры при высоких температурах окружающей среды и электрическая прочность изоляции была высокой. Стоимость и размеры трансформатора должны быть небольшими. Рис. 1. Схема силового каскада обратноходового преобразователя с двумя ключами

традиционной обратноходовой топологии (см. рис. 1). В начале периода переключения оба MOSFET закрыты, первичная обмотка трансформатора подключена к сети. Ток начинает протекать через первичную обмотку, а диод во вторичной обмотке обратно смещен в соответствии с полярностью трансформатора. Следовательно, вся энергия накапливается в трансформаторе, пока нагрузочный ток поступает с выходного конденсатора. Временной интервал, когда ключи закрыты, называется периодом намагничивания. После замыкания ключей запасенная

энергия передается на выход, питает нагрузку и заряжает выходной конденсатор. В то же время отраженное напряжение поступает на первичную обмотку. Временной интервал, когда ключи открыты, называется периодом размагничивания. Характеристики: –– входное напряжение первичной стороны (пик.): 1050 В (см. рис. 2); –– коэффициент заполнения (по данным заказчика): 26,4% от ном.; –– индуктивность первичной обмотки: 110 мкГн ±5% при 25°C;

электронные компоненты  №9 2018

45


И с т о ч н и к и и м о д у л и п и та н и я

–– Δ индуктивности первичной обмотки: <2% в диапазоне 25…–40°C; –– ток в первичной обмотке (ном.): 1,45 (СКЗ); –– индуктивность рассеяния: -- 40 мкГн (макс.) меж ду первичной и вторичной обмотками (S2) (см. рис. 3); -- 3,0 мкГн (макс.) между S2 и всеми остальными вторичными обмотками при закороченных выводах; –– вторичные обмотки: пять выходных, включая дополнительную обмотку для обратной связи по напряжению.

46

О тноше ни я ви тков обмоток трансформатора и токи при полной нагрузке: –– первичная/S1 = 14:1, нагрузочный ток (СКЗ) = 0,25 А; –– первичная/S2 = 10:1, нагрузочный ток (СКЗ) = 8,45 А; –– первичная/S3 = 8,3:1, нагрузочный ток (СКЗ) = 3,25 А; –– первичная/S4 = 8,3:1, нагрузочный ток (СКЗ) = 1,10 А; –– первичная/S5 = 8,3:1, нагрузочный ток (СКЗ) = 1,70 А; –– электрическая прочность изоляции (испытательное напряжение) между первичной обмоткой с S1 и всеми остальными вторичными обмотками: 3750 В (СКЗ) с частотой питающей сети 60 Гц; между всеми остальными вторичными обмотками: 1500 В DC; –– температура окружающей среды (макс.): 80°C; –– рабочая температура (макс.): 125°C; –– повышение температуры (макс.): 45°C. При проектировании учитываются следующие факторы: 1. Высокое входное напряжение на первичной обмотке может вызвать в ней пробой диэлектрика из-за больших градиентов напряжения между витками и слоями. 2. Высокое входное напряжение на первичной обмотке может вызвать коронный разряд (частичный разряд), который со временем повреждает изоляцию. 3. Большая коммутационная частота увеличивает вероятность возникновения коронного разряда, в результате которого изоляция очень быстро повреждается. 4. Из-за высокой температуры окружающей среды требуется, чтобы повышение температуры было минимальным – в пределах номинальных значений, установленных для изоляционного материала. У высокотемпературных изоляционных материалов – большая стоимость. 5. Индукция должна быть достаточно мала во избежание насыщения сер-

www.elcomdesign.ru

Рис. 2. Характеристики сигналов

Рис. 3. Схема первичной и вторичной обмоток трансформатора

дечника при повышенной температуре. Как правило, индукция насыщения ферритового сердечника при 100°C на 20–30% меньше ее номинального значения, указанного при 25°C. 6. Связь между обмотками должна быть достаточно хорошей, чтобы обеспечить малую индуктивность рассеяния. 7. При выборе рабочей частоты и уровня мощности учитывается сечение проводов, чтобы минимизировать потери в обмотках из-за поверхностного эффекта и эффекта близости. 8. Многожильные обмоточные и другие скрученные провода неэффективно используют занимаемое пространство. Если стандартные магнитные провода с пленочным покрытием и случайной намоткой в катушечных каркасах занимают около 50% отведенного объема, для многожильных проводных жгутов требуются лишь 30% имеющегос я прос транс тва катушки. Эти факторы учитываются

при выборе размера сердечника или катушки. 9. Поскольку цена изделия не должна быть высокой, материалы для сердечника и каркаса предлагается использовать из каталога. 10. Для обеспечения малой индуктивности первичной обмотки требу-

Рис. 4. Краевой поток вокруг зазора в сердечнике


значительная разница между соответствующими участками перегрева. Выбор сердечников

Рис. 5а. Катушка, намотанная непосредственно на поверхность каркаса; расстояние 1,0 мм над зазором сердечника

ется большой зазор в сердечнике. Заметим, что ферритовый сердечник позволяет минимизировать потери. Поскольку магнитная проницаемость феррита достаточно высока, требуется большой зазор, чтобы уменьшить эффективную магнитную проницаемость. Рядом с большим зазором может возникнуть заметный краевой поток (см. рис. 4) и, следовательно, дополнительные потери в рядом расположенных проводах. 11. По определению, краевым потоком является магнитное поле, которое распространяется в пространство рядом с зазором сердечника. В данном случае это центральный стержень сердечника. Магнитный поток вокруг зазора вызывает появление вихревых токов в катушке. Из-за этих достаточно больших токов в трансформаторе могут появляться перегретые участки, прожигающие изоляцию проводов (см. рис. 5). На рисунке 5а катушка трансформатора намотана непосредственно на каркас, а на рисунке 5б находится на расстоянии 4,8 мм над каркасом. Эти примеры демонстрируют эффект возникновения краевого потока при повышении температуры катушки. Видна

Рассеивание тепла и поверхностная площадь

Предположим, что 50% тепла, генерируемого при повышении темпе ратуры, рассеивается через воздух, а остальная часть отводится через плату. Будем исходить также из того, что принудительная вентиляция воздухом отсутствует (в наихудшем случае). Для стандартной конфигурации сердечник/каркас площадь поверхности всей сборки (см. рис. 6), включая сердечник и катушку, рассчитывается следующим образом: ПП = 2 [(A + 2B) (A + 2B + 2C) – 4BC + + (E + 2D) (A + 2B) – 4BD + + (E + 2D) (A + 2B + 2C)]. Минимальная площадь поверхности, при которой температура не поднимается более чем на 45°C при потере мощности 16,7 Вт, рассчитывается следующим образом: ;

(с сердечником и катушкой). При сравнении с другим более известным методом произведения площадей устройства (ПУСТР) для определения минимального размера сердечника мы получим совершенно другой результат:

И с т о ч н и к и и м о д у л и п и та н и я

Рис. 5б. Катушка на расстоянии 3,8 мм над поверхностью каркаса; расстояние 4,8 мм над зазором сердечника

Опыт подсказывает, что сердечники, обозначаемые как EC или ETD с печатным каркасом, является наиболее под ход ящим выбором. Круглая центральная часть сердечника обеспечивает гладкую и однородную поверхность намотки. Фланцы каркаса образуют барьер между катушкой и сердечником. Печатные выводы позволяют легко установить эту схему на печатную плату заказчика. Помимо чисто физических преимуществ, круглая поверхность намотки обеспечивает хорошую связь между витками, что позволяет свести к минимуму потери мощности из-за индуктивности рассеяния. Существует несколько способов определить минимальный размер сердечника: по произведению площадей его поверхностей в зависимости от суммарной мощности, с учетом минимальных требований к диапазону регулирования и т. д. Мы выбрали размер сердечника на основе максимальных общих потерь с учетом высокой температуры окружающей среды и высокого напряжения. Если исходить из того, что КПД = 90%, что яв ляетс я типовым значени ем д ля рассматриваемой тополо гии, с ум марная мощнос ть потерь P СУМ = 150/0,90–150 = 16,7 Вт. Необходимо найти сердечник, который рас-

сеивал бы эту мощность, нагреваясь не выше 45°C.

47

Рис. 6. Размеры трансформатора, учитываемые при расчете площади его поверхности

электронные компоненты  №9 2018


, где P0 – выходная мощность; 0,014 – стандартный коэффициент для рассматриваемой топологии; Δβ – индукция при 25°C, Тл; f – частота (мин.), Гц. Подставив значения параметров в эту формулу, получаем:

И с т о ч н и к и и м о д у л и п и та н и я

ПУСТР = (150/0,014 ∙ 0,19 ∙ 40000))1,33 = = 1,58 см4.

48

Для сравнения: величина ПУСТР у сердечника EC60 равна 4,00 см4. Предполагаетс я, что индукция, равная половине величины индукции насыщения (материала сердечника) при максимальной температуре, – вполне подходящее исходное значение рабочей индукции. Примем, что индукция составляет 0,38/2 Тл = 0,19 Тл. Результат применения метода произведения площадей показывает, что площадь сердечника, полученная вторым методом, намного меньше площади, рассчитанной с помощью величины поверхностной площади. Если воспользоваться меньшим сердечником, температура поднимется намного выше ожидаемой, и потребуется увеличить его размеры. Метод расчета минимальной площади поверхности, в конце концов, позволяет сэкономить достаточно много времени. Зная минимальную площадь поверхности, можно подобрать сердечник соответствующего размера. В данном случае был выбран стандартный сердечник EC‑60 и печатный каркас, которые можно приобрести у нескольких поставщиков. Расчет сердечника, зазора и каркаса: –– площадь поперечного сечения сердечника (мин.): 3,60 см2; –– эффек тивная площадь намотки катушки = 0,9 см ∙ 4,10 см ∙ 0,3 (коэф. заполнения) = 1,11 см2; –– AЭФФ = 3,60 см2; –– LЭФФ = 14,2 см; –– VЭФФ = 51,1 см3; –– вес: 264,5 г; –– материал: Acme P4; –– индукция насыщения (В НАСЫЩ ) при 25°C = 4800 Гс = 0,48 Тл; –– индукция насыщения при 100°C = = 3800 Гс = 0,38 Тл. Зная параметры сердечника и заявленные производителем данные, можно рассчитать его потери из следующего соотношения:

Подставив в формулу значения параметров, получим: Потери сердечника = = 1,32∙10 –7 ∙ 401,82 ∙ 1902,29 = = 91 мВт/см3 ∙ 51,1 см3 = 4,65 Вт. Магнитная проницаемость (µ) материала Acme P4 = 2500. Индуктивность первичной обмотки LП при использовании сердечника без зазора определяется следующим образом: LП = 0,4 ∙ П ∙ N2 ∙ AЭФФ/LЭФФ ∙ µ ∙ 10 –8; 2

LП = 0,4 ∙ П ∙ 96 ∙ 3,6/14,2 ∙ 2500 ∙ 10 = = 0,0734 Гн. Требуемая магнитная проницаемость при 1100 мкГн (LП): µТР = LП ∙ µ/LП (без зазора); µТР = 1100 ∙ 10 –6 ∙ 2500/0,0734 = 37,47. Длина зазора: LЗ = LЭФФ ∙ (µ – µТР)/(µ ∙ µТР) LЗ = 14,2 ∙ (2500–37,47)/(2500 ∙ 37,47) = = 0,37 см. Заметим, что если зазор находится между внешними стержнями сердечника, толщина разделителя равна 0,185 см. В данном случае производитель может сделать зазор в центральном стержне сердечника с неким коэффициентом индуктивного сопротивления (AL). Величина этого параметра определяется следующим образом: AL = мкГн/NП2, где NП – число витков катушки в первичной цепи. Зная фактическую площадь поверхности сердечника, можно рассчитать максимальную величину потери мощности, при которой его температура не повышается больше чем на 45°C. Суммарная (допустимая) потеря мощности

Потери сердечника = = 1,32∙10 –7 ∙ f1,82 ∙ B2,29, мВт/см3, где f – частота, кГц; B – индукция, мТл.

www.elcomdesign.ru

–8

Рис. 7. Варианты намотки катушки

PСУМ определяется потерями в сердечнике и обмотке: ; . Потери в обмотке, определяющиеся как разность между PСУМ и потерями в сердечнике, составляют 12,05 Вт. Расчет катушки в первичной цепи NП = EП ∙ 108/4,0 ∙ f ∙ AЭФФ ∙ β; NП = 1050 ∙ 108/(4,0 ∙ 40 ∙ 103 ∙ 3,60 ∙ 1,9∙103) = = 96 витков. Чтобы в максимальной с тепени увеличить эффективность магнитной связи (минимизировать индуктивность рассеяния), мы разделили кат ушк у на две части (P1 и P2). Сначала создается обмотка первой части, а намотка второй части осуществляется поверх вторичной обмотки с максима ль ным током. В каждом слое первичной обмотки – по 48 витков. Обмотки соединятся последовательно. На данном этапе следует определить входное напряжение. При пиковом напряжении 1050 В и максимальной частоте 46 кГц напряжение между витками и слоями достаточно велико. При 48 витках на обмотку напряжение между двумя витками составляет 22 ВПИК, т. е. сравнительно мало. Однако напряжение между первым и последним витком составит 1050 В/2 = 525 В ПИК . Кроме того, если обмотка окажется не однослойной, между ее слоями может возникнуть большое напряжение (см. рис. 7). В обмотке может возникнуть коронный (частичный) разряд, если его напряжение намного превысит пороговую величину 300 В (пик.). Площадь обмотки Далее мы определим максимальную площадь намотки в катушке. Ее суммарная площадь с учетом обмотки и изоляции:


ПО = 5,5 ∙ 41,0 ∙ 30% = 68 мм2. Будем исходить из того, что площадь обмотки равно распределена между двумя обмотками (P1 + P2) и вторичной стороной катушки: Площадь (P1 + P2) = 68/2 = 34 мм2. П о ско льк у п е р в ична я с тор о на состоит из двух обмоток, поперечная площадь первой из них равна 34/2 = 17 мм 2. Следовательно, на каждый виток приходитс я площадь 17 мм 2 /48 витков = 0,35 мм 2, что примерно соответствует проводу с эквивалентным размером 24 AWG. Выберем провод 25 AWG, чтобы обеспечить дополнительное пространство для оплетки и изоляции. Размер проводов всегда можно увеличить, если после намотки первого опытного образца окажется, что имеется дополнительное пространство.

Зазор сердечника, краевое поле и размеры обмоток

Чтобы расположить обмотку подальше от центрального стержня сердечника, следует намотать несколько слоев изоляции вокруг каркаса (см. рис. 5). В рассматриваемом случае максимальная толщина изоляции составляет 4 мм. Чтобы обеспечить требуемую электрическую прочность изоляции между обмотками (за исключением трифилярных обмоток S3 и S4, выполненных на одном и том же слое), между ними создаются два изолирующих слоя. Кроме того, следует рассчитать длину пути тока утечки вдоль внешних краев обмоток, где они выходят на фланец каркаса. Чтобы предотвратить возможность электрического пробоя между

концами соседних обмоток у фланца каркаса, между началом и концом провода катушки и краем изоляции, где она соприкасается с фланцем каркаса, создаются изоляционные барьеры, помеченные на рисунке 8 оранжевым цветом. Межобмоточная изоляция Для изоляции меж ду обмотками был выбран изоляционный материал Nomex 410, поскольк у он обладает высокой диэлектрической прочностью, высокой номинальной температурой и хорошо пропитывается лаком. Этот материал имеет большую жесткость, благодаря которой обеспечивается плоская однородная поверхность намотки. Поскольку у Nomex 410 – слегка шершавая поверхность, провода обмотки удерживаются на месте, и предотвращается соскальзывание витков. Хотя цена этого материала выше, чем у дру-

Таблица. Расчет параметров обмотки п/п

Обмотка

Описание

Кол-во

1

Изоляция

Nomex 410, 5 мил ∙ толщина 41,5 мм

28 слоев

2

5–6

тройной провод AWG 32

7 тр. (сум.)

3

Изоляция

Nomex 410, 5 мил ∙ толщина 41,5 мм

1 слой

4

3–2

18/AWG 38

48 тр. (сум.)

5

Изоляция

Nomex 410, 5 мил ∙ толщина 41,5 мм

2 слоя

6

18,17–16,15

60/AWG 38 ∙ 2BIF

10 тр. (сум.)

7

Изоляция

Nomex 410, 5 мил ∙ толщина 41,5 мм

2 слоя

8

2–1

18/AWG 38

48 тр. (сум.)

9

Изоляция

Nomex 410, 5 мил ∙ толщина 41,5 мм

2 слоя

10

9–8

25/AWG 38

11 тр. (сум.)

11

Изоляция

Nomex 410, 5 мил ∙ толщина 41,5 мм

12

14–13; 12–11

25/AWG 38 ∙ 2; 25/AWG 38, трифилярная намотка

11 тр. (сум.)

13

Изоляция

Nomex 410, 5 мил ∙ толщина 41,8 мм

2 слоя

Рис. 8. Расчет параметров обмоток

электронные компоненты  №9 2018

И с т о ч н и к и и м о д у л и п и та н и я

Потери в обмотке (RAC/RDC) Максимальная рабочая час тота трансформатора составляет 46 кГц. Согласно техническим рекомендациям, для работы на частоте 50 кГц целесообразно выбрать провод 38 AWG (RAC/RDC), что мы и сделаем. Однако мы выберем не многожильный провод, поскольку у него высокая цена, а в небольших количествах его трудно приобрести для создания опытного образца. Площадь поперечного сечения провода 25 AWG для первичной обмотки составляет 0,25 мм2. У провода 38 AWG этот параметр равен 0,014 мм 2. Число жил провода 38 AWG, эквивалентное числу жил 25 AWG, составляет 0,25 мм2/0,014 мм2 = 18. Мы воспользуемся проводом 38 AWG для реализации первичной и вторичной намоток за исключением намотки S1, по которой протекает малый ток. Число жил рассчитывается исходя из соответствующего нагрузочного тока каждой намотки. Ниже приведены расчетные значения параметров пяти обмоток исходя из среднеквадратичной величины тока: S1 – одножильная намотка про водом 32AWG с тройной изоляцией, Ø = 0,42 мм; S2 – бифилярная намотка двумя проводами 38 AWG по 60 жил = 120 жил, Ø = 1,10 ∙ 2,20 мм; S3* – бифилярная намотка двумя проводами 38 AWG = 50 жил, Ø = 0,60 мм; S 4* – 25 ж и л пр овод а 38 AWG , Ø = 0,60 мм; (* – S3 и S4 наматываются на один и тот же слой как трифилярная обмотка); S 5 –2 5 ж и л п р о в о д а 3 8 A W G , Ø = 0,60 мм.

Каждая обмотка – однослойная. Суммарная толщина обмоток – 5,25 мм.

49


гих, указанные характеристики делают его выбор наиболее предпочтительным. Чтобы отделить обмотку от зазора сердечника, каркас катушки обматывается 28 слоями материала Nomex 410 толщиной по 0,127 мм (5 мил) до первой намотки. Толщина изоляции составит 3,77 мм с учетом небольшого зазора. Расчет обмоток

Рекомендуется сначала создать вспомогательную обмотку для оптимизации связи между вспомогательной и первичной обмотками. Чтобы определить сопротивление обмоток, следует рассчитать длину обмоточного провода вокруг катушки. С этой целью вычисляется средняя длина витка (СВ), которая затем умножается на число витков и сопротивление провода. Средняя длина витка: СВ = П ∙ катушка + + 2 ∙ суммарная толщина намотки. Сопротивление обмотки (DCR): DCR = (N ∙ LВ + длина проволочных выводов) Ом/мм.

И с т о ч н и к и и м о д у л и п и та н и я

Вторичная обмотка (S1): 7 витков провода 32 Furukawa TEX-E, обмотка с тройной изоляцией в один слой.

50

СВ = П ∙ 25 + 2 ∙ 3,77 + 0,42 = 103,5 мм. DCR = (103,5 ∙ 7 + 60) ∙ 6,076 ∙ 10–4 = 0,47 Ом. Потери в обмотке (I2DCR) = 0,252 ∙ 0,47 = 0,03 Вт.

DCR = (113 ∙ 10 + 60) ∙ 2,12 ∙ 10 –3/2 ∙ 60 = = 0,021 Ом. Потери в обмотке (I2DCR) = 8,552 ∙ 0,021 = 1,54 Вт. Покрытие обмотки: два слоя Nomex 410.

СВ = PI ∙ 38,17 = 120 мм.

В таблице представлены результаты измерения характеристик первого опытного образца.

DCRP2 = (12 ∙ 48 + 60) ∙ 2,12 ∙ 10 –3/18 = = 1,31 Ом. Потери в обмотке (I2DCRP2) = 1,452 ∙ 1,31 = 2,75 Вт. Покрытие обмотки: два слоя Nomex 410. Вторичная обмотка (S5): 11 витков 25‑жильного провода 38 AWG MW80C, намотанного в один слой. СВ = PI ∙ 39,8 = 125 мм. DCR = (125 ∙ 11 + 60) ∙ 2,12∙ 10 –3/25 = = 0,122 Ом. Потери в обмотке (I2DCR) = 1,702 ∙ 0,122 Ом = 0,35 Вт. Покрытие обмотки: два слоя Nomex 410.

Первичная обмотка (P1): 48 витков провода 38 AWG MW80C, намотанного в один слой.

СВ = PI ∙ 41,5 = 135 мм. DCR = (130 ∙ 11 + 60) ∙ 2,12 ∙ 10 –3/50 = = 0,063 Ом.

СВ = PI ∙ (33,64 + 0,55) = 108 мм.

Потери в обмотке (I2DCR) = 3,252 ∙ 0,063 Ом = 0,67 Вт.

Покрытие обмотки: два слоя Nomex 410. Вторичная обмотка (S2): 10 витков 120‑жильного провода 38 AWG MW80C, бифилярная намотка в один слой. СВ = PI ∙ 36 = 113 мм.

www.elcomdesign.ru

Расчетное повышение температуры: ΔT = (PСУМ, мВт]/ПП)0,833 = 26,3°C.

Покрытие обмотки: один слой Nomex 410.

Потери в обмотке (I2DCRP1) = 1,452 ∙ 0,62 = 1,30 Вт.

Потеря в сердечнике = 4,65 Вт. Суммарные потери: 10,15 Вт.

Первичная обмотка (P2): 48 витков провода 38 AWG MW80C, намотанного в один слой.

Вторичная обмотка (S3): 11 витков 50 ‑жильного провода 38 AWG MW80C, намотанного в один слой, трифилярная намотка с S4.

DCRP1 = (108 ∙ 48 + 60) ∙ 2,12 ∙ 10 –3/18 = = 0,62 Ом.

Потери мощности: Потери в первичных и вторичных обмотках = 5,50 Вт.

Вторичная обмотка (S4): 11 витков 25‑жильного провода 38 AWG MW80C, намотанного в один слой, трифилярная намотка с S3.

Коронный разряд

Коронный разряд, проявляющийся в виде небольших электрических искр, сопровождается ионизацией воздуха вокруг проводника. Каждая искра является результатом электрического пробоя воздушного кармана в изоляторе. Эти разряды разрушают изоляционный слой и приводят к нарушению его функций. Электроды могут состоять из двух проводников с воздушным зазором между ними или изолятором. Изолятор находится между проводниками и металлическим выводом или между проводниками и сердечником. Коронный разряд возникает в воздушных карманах в эпоксидной смоле или других пористых изолирующих материалах (см. рис. 9). Начальное напряжение коронного разряда является минимальной величиной, при которой возникает этот разряд, и составляет всего лишь 300 В (пик.). Это напряжение не меняется с частотой, но расчетный срок службы материалов, испытывающих воздействие этого разряда, обратно пропорционален частоте. Данный эффект проявляется в большей мере с ростом рабочего напряжения источников питания, что происходит по мере совершенствования технологий. Следует знать, что трансформатор с небольшим коронным разрядом может проработать 50 лет на частоте 60 Гц, прежде чем его изоляция разрушится. Тот же трансформатор проработает всего пять недель на частоте 50 кГц и около трех недель – при 100 кГц.

СВ = 130 мм (тот же слой, что и S3). DCR = (130 ∙ 11 + 60) ∙ 2,12∙ 10 –3/25 = = 0,13 Ом. Потери в обмотке (I2DCR) = 1,102 ∙ 0,13 Ом = 0,16 Вт. Покрытие обмотки: два слоя Nomex 410.

Рис. 9. Коронный разряд в воздушном кармане


Таблица. Результаты измерения характеристик первого опытного образца Допуск

Результаты измерения

1100 мкГн

5%

1121 мкГн

2%

макс.

1,25%

Индуктивность рассеяния (между первичной обмоткой и S2)

40 мкГн

макс.

25,7 мкГн

Индуктивность рассеяния (между S2, S3 и S5 закорочен.)

3 мкГн

макс.

1,12 мкГн

Характеристика

Значение

Индуктивность первичной обмотки LП Изменение LП с 25 до –40°С

Диэлектрическая прочность (высокое испытательное напряжение) между первичной, S1 и всеми вторичными обмотками

3750 В АС

<1 мА тока утечки

<1 мА

между первичной и S1

1500 В DС

<0,5 мА тока утечки

<0,5 мА

между всеми вторичными

1500 В DС

<0,5 мА тока утечки

<0,5 мА

13,7:1

2%

13,7

первичная обмотка/S2

9,6:1

2%

9,6

первичная обмотка/S3

8,73:1

2%

8,73

первичная обмотка/S4

8,73:1

2%

8,73

первичная обмотка/S5

8,73:1

2%

8,73

1,60 Ом

макс.

1,40 Ом

вторичной (S1)

0,550 Ом

макс.

0,440 Ом

вторичной (S2)

0,027 Ом

макс.

0,023 Ом

Трансформатор может успешно пройти производственные испытания, выходной контроль и контроль при приемке, а затем перестать функционировать спустя месяц, если в нем возникает коронный разряд. Чтобы убедиться в том, что коронный разряд у трансформатора отсутствует, следует выполнить вакуумную пропитку лаком. Если в пропитке отсутствуют пустоты, или воздушные карманы, разряд не появится. При пропитке рекомендуется выдержать лак при минимальном давлении 982 мбар.

Отношение витков первичная обмотка/S1

Сопротивление обмотки (DCR) первичной

вторичной (S3)

0,082 Ом

макс.

0070 Ом

вторичной (S4)

0,162 Ом

макс.

0,140 Ом

вторичной (S5)

0,160 Ом

макс.

0,134 Ом

Повышение температуры 45°С

макс.

25,4°С

45°С

макс.

29,3°С

И с т о ч н и к и и м о д у л и п и та н и я

Входное напряжение: 400 В, темп. окр. среды: 80°С Входное напряжение: 1100 В, темп. окр. среды: 80°С

Литература 1. L. Ruben and D. Stephens. Gap Loss in Current-Limiting Transformer. Electromechanical Design. April 1973. 2. F. W. Peek, Jr. Dielectric Phenomena in High Voltage Engineering. April 1915. 3. Kerrigan-Lewis Wire Products. Litz Wire Technical Tips. 4. Colonel William T. McLyman. Transformer and Inductor Design Handbook. 1978. 5. Mil-PRF‑27G. Performance Specification, Transformers and Inductors (Audio, Power and High-Power Pulse). General Specification. May 19. 2014. 6. Texas Instruments. Application Report SN VA 716 . I m p r o v i n g t h e P e r f o r m a n ce o f Tr a d i t i o n a l Fl y b a c k To p o l o g y w i t h Two -Switch Approach. July 2014//w w w. ti.com/lit/an/snva716/snva716.pdf.

НОВОСТИ ТЕХНОЛОГИЙ

| 512-слойная 3D NAND и 512-Тбайт 2,5-дюймовые SSD могут появиться уже в 2021 г. |

По мнению специалистов через три–четыре года производители флэш-памяти смогут выпускать 512‑слойные микросхемы 3D NAND. Предпосылкой для производства таких микросхем станут технологии предельно тесного соединения кристаллов 3D NAND. Например, новейшая 96‑слойная 3D NAND-память выпускается в виде двух 48‑слойных кристаллов, состыкованных на уровне слоев, а не подложек, как в случае упаковки в колонку более двух кристаллов DRAM или 2D NAND. Такой способ может вести к потере части слоев. В настоящее время производители научились выпускать 64‑слойную 3D NAND-память в едином цикле. Нетрудно подсчитать, что 512‑слойная память 3D NAND будет состыкована из восьми 64‑слойных кристаллов. С учетом использования 4‑битовой ячейки объемом до 8 Тбит на 64‑слойный чип емкость 512 3D NAND QLC составит 1 Тбайт. Упаковывая в один корпус до 16 кристаллов, производители получают 16‑Тбайт микросхемы 3D NAND. В 2,5‑дюймовом форм-факторе можно разместить 32 таких микросхемы. Таким образом, SSD-накопитель емкостью 512 Тбайт – вполне реализуемая цель в ближайшем будущем. РЕКЛАМА

www.russianelectronics.ru

51

электронные компоненты  №9 2018


Микросхемы АЦП с ФАПЧ на БМК Михаил Сизов, Владимир Клепиков, Николай Захаров, Дмитрий Подхватилин, НПП «Дозор»

АЦП и ЦАП

В статье описан подход к построению АЦП, основанный на преобразовании измеряемого напряжения в длительность импульса, которая стабилизирована схемой ФАПЧ, с последующим преобразованием длительности импульса в код. В ряде проектов этот подход успешно реализован на ПЛИС, на отечественных микроконтроллерах, а также на БМК, в т. ч. с приемкой 5. Выбором схемотехнических параметров характеристики получаемых на БМК АЦП могут меняться в широких пределах – до 20 разрядов по разрешающей способности и до 320 кГц по частоте преобразования. Для макетирования и отладки АЦП на БМК разработана отладочная плата.

52

НПП « Дозор» (Москва) является разработчиком и производителем электронных измерительных и управляющих устройств для авиационных и промышленных приборов и систем. Основным направлением деятельности компании является разработка аппаратуры, коммуникационных протоколов и программного обеспечения распределенных сетевых систем управления, состоящих из электронных блоков, которые устанавливаются внутри или в непосредственной близости от узлов и агрегатов объекта управления и связаны между собой последовательными каналами информационного обмена [1]. Встраиваемые модули работают в условиях повышенных уровней внешних воздействий, прежде всего, – температур, вибраций, спецфакторов. Для обеспечения их работоспособности в НПП «Дозор» разработан ряд специализированных микросхем коммуникационных протоколов [2] (совместно с ПКК «Миландр») и АЦП [3–4] (совместно с НПК «Технологический центр» [5]). В настоящем обзоре приводится описание микросхем АЦП, изготавливаемых в НПК «Технологический центр», на базе матричных кристаллов (БМК) серии 5503 по техническим заданиям НПП «Дозор». Принцип работы реализованного в этих микросхемах АЦП основан на преобразовании измеряемого напряжения в длительность импульса, которая стабилизирована схемой фазовой автоподстройки частоты (ФАПЧ) [6]). ФАПЧ представляет собой систему автоматического регулирования с отрицательной обратной связью (ООС) (см. рис. 1), подстраивающую частоту внутреннего генератора, управляемого напряжением (ГУН) F0 так, чтобы она была равна частоте входного сигнала F1.

www.elcomdesign.ru

Рис. 1. Функциональная схема ФАПЧ

Подстройка частоты ГУН F0 осуществляется напряжением UГУН, получаемым на выходе фильтра низкой частоты (ФНЧ) из сигнала разности частот F1 и F0, который формируется фазовым детектором (ФД). Выбором параметров схемы ФАПЧ обеспечивается ее астатичность по отношению к частоте [7–8], т. е. установившийся фазовый сдвиг между сигналами F1 и F0 таков, что напряжение UГУН обеспечивает их точное равенство (F0 = F1). При использовании схемы ФАПЧ (см. рис. 1) для преобразования напря-

жения Ux в длительность импульса Тх в эту схему вводится генератор G фиксированной частоты F1 (см. рис. 2), а ФНЧ дополняется элементом сравнения измеряемого напряжение Ux с выходным сигналом ФД. ФНЧ с элементом сравнения (см. рис. 2) выполнен на операционном усилителе (ОУ) по схеме пропорционально интегрирующего (ПИ) фильтра, который сравнивает средние за интервал времени Т0 = 1/F0 значения двух сигналов – измеряемого Ux и логического Tx.

Рис. 2. Функциональная схема преобразователя напряжения в длительность на основе ФАПЧ


Рис. 3. Примеры осциллограмм работы ФНЧ: а) Ux = 1 В; б) Ux = 2 В; в) Ux = 4 В. Зеленый луч – сигнал Tx; малиновый луч – напряжение на выходе ОУ, управляющее частотой и фазой ГУН

Логический сигнал Tx участвует в работе ФНЧ как «электрический»: за интервал времени Т0 = 1/F0 он принимает значения либо логического «0», т. е. электрического «0», либо логической «1», т. е. напряжения питания ФД. Это означает, что на ФНЧ на интервале времени, в течение которого сигнал Tx равен «1», происходит усреднение и сравнение двух сигналов – напряжения питания ФД и измеряемого напряжения Ux. На выходе ОУ создается сигнал сложной формы (см. рис. 3), но поскольку ГУН также является интегрирующим звеном, он реагирует только на постоянную составляющую этого сигнала. Элементы схемы ФНЧ должны удовлетворять следующему требованию:

R3/R1 = R4/R2 и R1C1 = R2C2, где произведение R1C1 – постоянная времени, определяющая интегрирующий эффект фильтра. R3 обеспечивает устойчивость схемы, отношение R3 к R1 (Кп = R3/R1) определяет пропорциональный коэффициент передачи фильтра на переменном токе. Таким образом, выходной сигнал ФНЧ по-прежнему управляет ГУН так, чтобы частоты F0 и F1 были равными, а фазовый сдвиг между ними позволял соблюсти равенство Ux/Up = Тх/Т1,

Таблица 1. Варианты микросхем АЦП с ФАПЧ, реализованных на БМК серии 5503 Обозначение

Функциональное описание

Приемка

Корпус

KH5503XSM1-623

2-канальный АЦП с ФАПЧ (внешний ОУ)

ОТК

Н09.28-1В

KH5503XSM1У-651

2-канальный АЦП с ФАПЧ (внешний ОУ, ключи для выбора опоры)

ОТК

5123.28-1

ОТК

5123.28-1

KH5503XSM1У-670 H5503XSM1У-670

2-канальный АЦП с ФАПЧ (внутренний ОУ)

5123.28-1

8-канальный ГУН для АЦП с ФАПЧ

ОТК

5123.28-1.01

5503ХМ1У-754

8-канальный ФД + таймер + 8 регистров памяти + SPI (внешний ОУ)

ОТК

МК 4239.68-2

АЦП и ЦАП

ПЗ

5503ХМ1У-753

где U p – амплит уда « логического» импульса Tx (напряжение питания ФД); Тх – длительность импульса, равная фазовому сдвигу меж ду сигналами F1 и F0 , Т1 = 1/F1 – период следования импульсов внутреннего генератора G. ФД выполняется на двух D‑триггерах (см. рис. 4). Такая схема обеспечивает линейность выходной характеристики ФД в диапазоне 0–360°. Выбор индексов 0 и 1, при обозначении частот F1 и F0 связан с логикой работы ФД. Передний фронт сигнала F1 устанавливает на выходе ФД уровень логическую «1», а передний фронт сигнала F 0 устанавливает на выходе ФД «0». Рассмотренный принцип положен в основу нескольких вариантов микросхем (МС) АЦП с ФАПЧ, реализованных на БМК серии 5503 (см. табл. 1). Э ти МС применяютс я в ряде ави ационных приборов и в сис темах промышленной автоматизации. МС 5503ХМ1У‑623, –651 и –670, содержащие двухканальные АЦП с ФАПЧ (ГУН + ФД) [3–4], применяются совместно с микроконтроллерами (МК ). Вс троенные таймеры МК обеспечивают преобразование длительности импульсов Тх в код. В МС 5503ХМ1У‑623 и –651 ПИ-фильтр реализуется на внешнем ОУ, а в МС

53

Рис. 4. а) схема ФД; б) принцип его работы

электронные компоненты  №9 2018


АЦП и ЦАП

Рис. 5. Функциональная схема одного канала АЦП с ФАПЧ

54

H5503XSM1У‑670 – на внутреннем ОУ БМК. Кроме того, в МС KH5503XSM1У‑651 имеются аналоговые ключи для задания опорного напряжения. Применение внешних ОУ вызвано тем, что параметры встроенных в БМК ОУ не позволяют поднять точность преобразования АЦП с ФАПЧ выше ±0,5% в диапазоне температуры –60…125°C, что было проверено экспериментально. 8‑канальный АЦП реализов ан с использов анием дву х МС – 5 5 0 3 Х М1У ‑7 5 3 и 5 5 0 3 Х М1У ‑7 5 4 . 5503ХМ1У‑754 содержит: 8‑канальный ФД, 16‑разрядный таймер, 8 регистров памяти, SPI, ПИ-фильтр на внешнем ОУ. Эта микросхема позволяет строить 8‑канальный АЦП с ФАПЧ без МК. Для уменьшения влияния цифровых схем на шумы АЦП аналоговая часть (8 ГУН) выполнена отдельной МС 5503ХМ1У‑753. Исс ледование МС проводилось в схеме 8‑канального 15‑разрядного АЦП с ФАПЧ и SPI-интерфейсом (см. рис. 5), собранной на отладочной плате (starter kit), которая позволяет работать как с самими МС, так и с их прототипами, выполненными на ПЛИС M2GL010–1FG484I, дискретных элементах и МК 1986 ВЕ91T1 фирмы ПКК «Миландр». С целью снижения уровня шума в коде выходного сигнала в схему включен дополнительный делитель частоты FГУН на К = 16, который усредняет фазовые шумы ГУН. Проведенные исследования 8‑канального 15‑разрядного АЦП с ФАПЧ с выходом SPI ( д ля выбора канала используется 3‑разрядная шина адреса) дали следующие результаты:

www.elcomdesign.ru

-- диапазон входного напряжения: 0,1–4,9 В; -- число разрядов в выходном коде SPI: 15; -- вес младшего разряда: 0,25 мВ; -- частота преобразования сигнала: 1000 Гц; -- п о л о с а п р о п у с к а н и я : 2 0 0 Гц с наклоном 40 дБ/дек; -- среднеквадратическое значение шума в выходных кодах SPI: ±1 бит. В настоящее время МС 5503ХМ1У‑753 и 5503ХМ1У‑754 реализованы на БМК, выполненным по технологии 1,5 мкм. Их рабочая час тота сос тавляет FCLK = 30 МГц. Использование технологии 0,18 мкм обеспечивает рабочую частоту

БМК величиной 327,68 МГц, что позволит реализовать на них разные варианты АЦП с ФАПЧ, которые представлены в таблице 2. Выводы

1. АЦП с ФАПЧ обладают рядом важных свойств: -- не требуют применения пре цизионны х эле м ентов к р о м е ОУ. Требования к точности и термостабильности R‑ и С‑элементов схемы – не более ±10% при всех условиях применения; -- не содержат схем выборки–хранения, поэтому взаимное влияние каналов полностью отсутствует;

Таблица 2. Варианты АЦП с ФАПЧ для БМК с рабочей частотой FCLK = 327,68 МГц Разрешающая способность

Быстродействие АЦП

Число разрядов

Число отсчетов, бит

Частота преобразования (F1 = FCLK/2N), кГц

Полоса пропускания по уровню 0,7, кГц

10

1024

320

64

11

2048

160

32

12

4 096

80

16

13

8 192

40

8

14

16 384

20

4

15

32 768

10

2

16

65 536

5

1

17

131 072

2,5

0,5

18

262 144

1,3

0,25

19

524 288

0,625

0,125

20

1 048 576

0,313

0,063

21

2 097 152

0,156

0,031

22

4 194 304

0,078

0,016

23

8 388 608

0,039

0,008

24

16 777 216

0,020

0,004


-- не боятся перегрузок по входам, поэтому не требуют ограничителей уровней входных сигналов; -- встроенные схемы контроля перегрузки можно использовать для диагностики исправности АЦП. 2. Микросхемы 2‑канальных ФАПЧ (KH5 503XSM1–503, –651, –653, –670) могут применяться в устройствах, где АЦП, входящий в МК, не удовлетворяет требованиям по точности, шумам и разрешающей способности. На базе 8‑разрядного МК строится 2‑канальный 16‑разрядный АЦП, не требующий применения прецизионных элементов [4]. 3. Разработанная отладочная плата позволяет моделировать работу АЦП с ФАПЧ на ПЛИС. Получаемый

на языке VERILOG код используется для автоматического проектирования кристаллов БМК, что значительно сокращает время разработки и изготовления микросхем. 4. Быстродействие и точность разработанных МС АЦП зависит от максимальной рабочей частоты элементов БМК (см. табл. 2). 5. Возможность ПЛИС работать на частотах до 1 ГГц позволяет создавать на них многоканальные АЦП с ФАПЧ с требуемыми характеристиками по точности и быстродействию. Литература 1. Клепиков В. И. Отказоустойчивость распределенных систем управления. М.: «Золотое сечение». 2014.

2. Захаров Н. А., Клепиков В. И., Под­ хватилин Д. С. Синхронно - временной протокол для распределенных систем управления. Автоматизация в промыш­ ленности. 2013. № 2. 3. АЦП с контуром фазовой автопод­ стройки частоты. Современная электро­ ника. № 6. 2016. 4. 16‑разрядный АЦП на 8‑разрядном AVR//www.chipenabl.ru. Проекты. 5 .  С а й т Н П К « Т е х н о л о г и ч е с к и й центр»//www.tcen.ru. 6. Преобразователь напряжения в дли­ тельность импульса, стабилизированный ФАПЧ. Современная электроника. № 6. 2012. 7. Применение интегральных схем. Под ред. А. Уильямса. Москва. Мир. 1987. 8. Титце У., Шенк К. Полупроводниковая схемотехника. Москва. Мир. 1982.

СОБЫТИЯ РЫНКА

| Ключевой тенденцией для рынка LPWAN в 2019 г. станет связь между разными стандартами сетей |

Ключевой тенденцией, которая будет оказывать основное влияние на рынок энергоэффективных сетей дальнего радиуса действия (LPWAN) в следующем году, является обеспечение связи между разными технологиями, сообщается в августовском отчете The Insight Partners. По подсчетам аналитиков, объем рынка LPWAN к 2025 г. вырастет более чем в 20 раз – до 20,7 млрд долл. против 986 млн долл. в 2017 г. Учитывая быстрое развитие стандартов, можно предположить, что в одном районе будет существовать несколько LPWAN-сетей, которым потребуется взаимная координация. В мире уже проводятся исследования в области межтехнологичной связи (cross-technology-communication, CTC), которая могла бы функционировать без дополнительного оборудования для взаимодействия между стандартами WiFi, ZigBee и Bluetooth. www.russianelectronics.ru

АЦП и ЦАП

55

РЕКЛАМА

электронные компоненты  №9 2018


USB-датчики мощности для статистических измерений Николай Садыков, инженер

Д ат ч и к и

Современные USB-датчики мощности способны проводить разные типы измерений мощности. Они демодулируют сигнал и обрабатывают видеоинформацию для удобства измерений.

56

Статистические измерения импульсов – лишь одна из многочисленных функций, которые выполняют эти новые недорогие датчики. Рассмотрим преимущества датчиков мощности и методики, используемые для проведения статистических измерений импульсов в сигнале с двумя состояниями. Измерения импульсов осуществляются одним из трех основных способов. Первый – наиболее простой. Он начинается с измерения средней мощности. Далее по заданной длительности цикла рассчитывается мощность импульса. Этот подход сравнительно недорогой, однако полагается на заданное значение длительности цикла, которое может внести ошибку. Вторым методом является графический анализ. Считанные данные обрабатываются и анализируются во временной области, что требует более дорогого оборудования, а также квалифицированного специалиста. Анализ данных основан на синхронизации, которая может усложнить сбор данных и замедлить обработку. Его наибольшее преимущество заключается в возможности видеть сигнал напрямую. Наконец, третий метод, который мы подробно рассмотрим, – статистический анализ. На определенном временном промежутке берутся выборки, которые затем анализируются. При этом собирается гораздо больше данных, и точность повышается. Получаются достаточно надежные результаты, а для сбора данных не требуется особых навыков. Это недорогой метод, который не основан на допущениях, как первые два. Данная методика применялась и применяется в настоящее время для работы с радарными импульсами. Кроме того, она имеет большое значение для современных систем связи, хотя уменьшение размера и веса уси-

www.elcomdesign.ru

Рис. 1. Параметры импульса

лителей мощности для портативных устройств представляет собой определенные сложности. Статистические измерения мог у т использоваться напрямую для получения важных параметров сигнала. Эти тесты позволяют подтвердить характеристики устройства и оптимизировать плотность данных в сигналах со сложной модуляцией, не нарушая требования спецификации компонента. Статистические измерения импульсов можно также использовать для верификации проекта и тестирования компонентов на сбои. Стробированные измерения осуществляются для сигналов непериодичной формы. Статистические измерения позволяют точно определить коэффициент амплитуды и другие параметры. Перед измерениями задаютс я параметры импульса. Кроме того, требуется определение импульса в соответствии со стандартом IEEE Standard 181–2011. Эта спецификация подробно описывает все необходимые параметры импульса. Мы рассмотрим некоторые из них, а также добавим еще один – пиковую мощность. Параметры импульса наглядно показаны на рисунке 1.

Параметры импульса

В р а сс м а т р и в а е м о м п р и м е р е используются стандарты IEEE 194–1977, 181–1977, 181–2003 и 181–2011. Часть терминов в последующих редакциях была уточнена или заменена, однако основные статистические измерения остались прежними. Основные изменения, а также новые параметры приведены в таблице. Определения некоторых терминов могут значительно меняться. Например, не всегда в качестве опорных уровней используются 10 и 90%. Однако устаревшие термины продолжают использоваться наряду с новыми. Так, параметр «пиковая мощность» не входит в стандарты IEEE. Как правило, это первый выброс в сигнале. Мы будем использовать датчик мощности LB479A для измерения данного параметра. Состояние 2, или верхнее значение мощности, часто называют мощностью импульса. Мы будем использовать это название. Не следует путать данный параметр с пиковой мощностью. Необходимо четко понимать, что именно измеряет датчик мощности. Опорные уровни 10, 50 и 90% используются для поиска информации,


дичной основе, так чтобы между ними было одинаковое время. При этом следует удостовериться, что обеспечена достаточная полоса пропускания и частота выборки. Во‑вторых, временной промежуток, на котором производятся выборки, должен быть достаточным для сбора данных о сигнале. Мы об этом поговорим ниже. Для проведения статистических измерений импульса выборки производятся периодично, в соответствии с их уровнем мощности. Визуально это можно представить с помощью сетки, наложенной на сигнал (см. рис. 2). По оси абсцисс на этой сетке лежит момент снятия выборки, по оси ординат – соответствующий уровень мощности. При таком подходе пиковую мощность определить очень легко – это максимальное измеренное значение. Мощность в состоянии 2, или мощнос ть импульса, рассчитываетс я как среднее значение измеренных максимальных значений мощности. Обратимся к стандартам IEEE. Одним из способов расчета является использование среднего значения распределения плотности. Он хорошо подходит для простых импульсов, изображенных на рисунках 1 и 2. Процедура описана в стандарте.

Таблица. Изменение терминов в последующих редакциях стандартов IEEE Старое название

Новое название

Описание

Цикл нагрузки (duty cycle)

Коэффициент заполнения (duty factor)

Отношение длительности импульса к периоду волны

Время нарастания и спада (rise time and fall time)

Интервал переключения

Если не указано иное, измеряется по опорным уровням 10 и 90%

Исходный уровень (magnitude origin)

Базовое состояние (base state)

В первом приближении это опорный уровень при нулевой мощности

Базовое значение (base magnitude)

Состояние 1 (State 1)

Наименьшее состояние сигнала

Верхнее значение (top magnitude)

Состояние 2 (State 2)

Самое большое значение волнового сигнала с двумя состояниями. Это среднее значение амплитуды на вершине импульса (мощность импульса)

Амплитуда импульса (pulse amplitude)

Амплитуда волны (waveform amplitude)

Разность между амплитудами в Состоянии 1 и Состоянии 2

Линия (line)

Состояние (State)

Пример: базовая линия стала называться Состоянием 1

Ближайший уровень (proximal)

Опорный 10% (10% reference)

Уровень мощности между Состоянием 1 и Состоянием 2

Серединный уровень (mesial)

Опорный 50% (50% reference)

Уровень мощности между Состоянием 1 и Состоянием 2

Дальний уровень (distal)

Опорный 90% (90% reference)

Уровень мощности между Состоянием 1 и Состоянием 2

Ширина импульса (pulse width)

Длительность импульса (pulse duration)

Время, в течение которого импульс активен, время между опорными уровнями 50%

Уклон (droop)

Наклон (tilt)

Искажение наклона импульса

ет удовлетворить двум требованиям. Во‑первых, выборки должны быть произведены на постоянной перио-

Д ат ч и к и

относящейся ко времени, – длительности перехода (времени нарастания и спада), длительности (ширине) импульса и т. д. При их определении используется только опорный уровень 50%, где мощность сигнала равна половине мощности в состоянии 2. Его также называют уровнем –3 дБ. Базовое состояние представляет собой отсутствие мощности. Часто это шумовой порог типичного датчика мощности. Для LB479A данный уровень находится значительно ниже –60 дБм. Базовое состояние – минимальная мощность, которую может измерить система. В некоторых случаях, например когда используется коммутатор с утечкой для генерации импульсов, состояние 1 указывает на присутствие мощности смещения (см. рис. 1). Типовой датчик мощности показывает мощность состояния 2 и пиковую мощность как абсолютную величину, измеренную относительно базового состояния. Именно эта величина представляет интерес в большинстве случаев. Мощность в базовом состоянии учитывается при измерении средней мощности. Теперь посмотрим, как могут быть проведены специфические измерения. Статистические измерения, которые мы рассматриваем, могут быть проведены без учета длительности или периода импульса. Тем не менее, они позволяют измерить коэффициент заполнения импульса. По отношению к временным характеристикам следу-

57

Рис. 2. Определение параметров с помощью сетки

Рис. 3. Гистограмма, отражающая количество выборок

электронные компоненты  №9 2018


Гистограмма данных

На рисунке 3 показана гистограмма данных, представляющая собой количество считанных выборок. Таким образом, получаем распределение плотности выборок, а не соответствующих им уровней мощности. Например, если была произведена выборка, значение которой 5 дБм, то на гистограмме инкрементируется полоса, соответствующая 5 дБм. Для импульсных сигналов описанная гистограмма всегда позволяет четко выявить два уровня: состояние 1 и 2 (f1 и f2 соответственно). На гистограмме появляется два горизонтальных пика. На рисунке 3 показан только один импульс, и не указано количество выборок, поэтому f1 определить нельзя. Если расстояние между импульсами большое, как в случае радаров, то f1 может быть значительно больше, чем показано на рисунке 3. Заметим, что алгоритм может быть изменен или использовано несколько алгоритмов совместно для искаженных импульсов или других форм. Датчик LB479A позволяет использовать несколько дополнительных алгоритмов для статистической оценки мощности. Более подробно это разобрано в стандартах, о которых мы говорили выше. Средняя мощность

Средняя мощность рассчитывается путем усреднения значений выборок.

Как мы уже говорили, изображение сигнала на рисунке 3 не обязательно содержит весь сигнал, и среднее значение может оказаться неправильным, если какое-либо из значений снято неверно. Соответственно, чем больше выборок, тем больше точность. В данном случае мы измеряем особые импульсы, однако среднее значение рассчитывается тем же способом. Для статистических измерений проводится усреднение по большому количеству импульсов, чтобы повысить точность. На рисунке 4 показана последовательность импульсов. Видно, что на гистограмме все значения увеличились, и усреднение будет более точным. Чем больше импульсов можно обработать, тем меньше погрешность. Коэффициент амплитуды

Когда средняя мощность определена, можно рассчитать коэффициент амплитуды (crest factor) импульса. Обычно коэффициент амплитуды выражается в дБ: CF = 10lg (пиковая мощность/ средняя мощность). Мощность импульса

Мощность импульса можно найти с помощью Состояния 2 (f2). Напомним, что в гистограмме показаны количество выборок с данным уровнем

мощности. Мощность импульса – это мощность в Состоянии 2 (f2). Коэффициент заполнения

Коэффициент заполнения (duty factor) можно выразить в долях периода, во время которого сигнал активен: DF = A/P ∙ 100%, где DF – коэффициент заполнения; A – время, когда сигнал ак тивен; P – полный период. Расчет коэффициента амплитуды требует установления начала и конца импульса. Это делается по уровню 50%. В стандарте IEEE 181 он определен как мощность базового состояния плюс 50% разности между Состояния­м и 1 и 2. При таком подходе отсекается мощность смещения, и точность повышается. Затем добавляется мощность смещения, так что искомая мощность имеет абсолютное значение. Заметим, что на рисунке 5а данные выше и ниже уровня 50% выглядят сбалансированными, поскольку коэффициент амплитуды близок к 50%. На рисунке 5б показан импульсный сигнал с меньшим коэффициентом амплитуды. Это следует из того, что ниже уровня 50% находится больше выборок (красный цвет), чем выше (зеленый), т. е. сигнал в Состоянии 1 находитс я больше врем ени, чем в Состоянии 2. Пусть уровни мощности представлены в линейных единицах. Тогда уровень 50% определяется с помощью выражения:

Д ат ч и к и

P50% = Базовое состояние + + (0,5 ∙ (Состояние 2 – Состояние 1)).

58 Рис. 4. Гистограмма для последовательности импульсов а)

б)

Этот уровень также называют мощностью на переднем и заднем фронтах. По нему легко вычислить коэффициент амплитуды. Поскольку на гистограмме выборки выше уровня 50% обозначают время, когда импульс активен (А), все выборки представляют собой мощность импульса P. Другими словами, мы можем рассчитать коэффициент амплитуды без временных параметров по гистограмме, отображающей количество выборок. Например, если общее количество выборок равно 1276412, и выше уровня 50% находятся 437567 выборок, то коэффициент амплитуды равен: DF = A/P ∙ 100 = =437567/1276412 ∙ 100 = 34,28%. Измерения на практике

Рис. 5. Сравнение гистограмм для импульсов с разными коэффициентами амплитуды

www.elcomdesign.ru

На рисунке 6 показано измерение параметров импульса с помощью дат-


чика мощности LB479A. Заметим, что мощность импульса на главном экране и пиковая мощность практически идентичны. Это говорит о том, что у импульса гладкая вершина и выброс или скос невелик. Коэффициент амплитуды составляет 11,11% и средняя мощность равна –3,657 дБм. Это измерение было выполнено за короткое время с высокой точностью. Различия лишь в затраченном времени, стоимости и точности измерений. Статистические измерения оказываются выгоднее по этим параметрам. Выводы

Компактные USB-датчики мощности позволяют осуществлять ряд измерений, в т. ч. получать более сложную аналитическую информацию. Использование USB -датчиков мощнос ти

Рис. 6. Измерение параметров импульса с помощью датчика мощности LB479A

имеет ряд преимуществ. Небольшие датчики легко встраиваются в испытательное оборудование, удаленное оборудование связи, повторители, базовые станции и оборудование 5G, а также защитное оборудование нового поколения. Они потребляют мини-

мальную мощность, имеют малый вес и обеспечивают такую же или более высокую точность. Статистические измерения часто позволяют обойтись без графического анализа, что повышает точность и сокращает время измерений.

НОВОСТИ ТЕХНОЛОГИЙ

| Найден революционный способ на треть увеличить емкость жестких дисков |

www.russianelectronics.ru

электронные компоненты  №9 2018

Д ат ч и к и

В технологическом стартапе L2 из США предложили решение, применение которого в производстве накопителей на жестких магнитных дисках обещает мини-революцию. Инженеры полагают, что отказ от заполнения блока с магнитными пластинами газом (гелием, очищенным воздухом и т. д.) в пользу вакуума позволит упростить производство и увеличить емкость жестких дисков более чем на 35% при т. н. перпендикулярной записи. В вакууме магнитные пластины не будут подвергаться воздействию коррозии, что благоприятно скажется на долговечности изделия. Кроме того, это позволит отказаться от применения смазочных материалов, а также от нанесения специального карбонового покрытия на головки и пластины при изготовлении. Данные изменения значительно упростят технологический процесс. Кроме того, полная откачка газов позволит уменьшить расстояние между головками и магнитными пластинами до 3–4 нм, благодаря чему можно увеличить плотность размещения дорожек и повысить вместительность накопителя, а также более эффективно использовать технологии термомагнитной записи (HAMR) и микроволновой записи (MAMR). На сегодняшний день существует несколько подходов к увеличению емкости жестких дисков. Один из них заключается в увеличении количества пластин, устанавливаемых в устройство, габариты которого ограничивают применение метода. Способ, позволяющий увеличить количество пластин без утолщения устройства, а заодно и снижающий его энергопотребление, в 2012 г. представила компания Hitachi Global Storage Technologies. Технология предполагала заполнение гермозоны гелием, плотность которого в семь раз ниже плотности воздуха, что означает пропорциональное снижение силы сопротивления движению механических частей жесткого диска. Кроме того, низкая плотность гелия существенно снижает силу движущегося потока, действующую на диски и привод головок, и позволяет располагать магнитные пластины ближе друг к другу. Освободившееся место можно использовать для установки дополнительных пластин. Второй способ расширения емкости накопителей заключается в увеличении плотности записи на магнитную пластину за счет уменьшения размера магнитного «зерна», что также влечет за собой уменьшение размера магнитных головок. Реализация этого метода требует решения нескольких серьезных проблем. Главная из них заключается в том, что с уменьшением размеров магнитное «зерно» быстрее размагничивается, приводя к потере информации и возникновению ошибок. Проблема решается путем использования в производстве пластин из особых материалов с высокой удерживающей силой, что порождает дополнительную проблему: головка жесткого диска из-за своих размеров не генерирует магнитное поле такой силы, чтобы намагнитить «зерно» на пластине из подобного материала. Технология HAMR позволяет решить эту проблему следующим образом: при помощи лазера, встроенного в головку жесткого диска, поверхность магнитной пластины в записываемой области разогревается до 500°C, что уменьшает удерживающую силу и позволяет даже маломощному магнитному полю намагнитить требуемый участок. При последующем охлаждении участок пластины приобретает первоначальные физические свойства, не позволяющие ему размагнититься. Таким образом, HAMR гарантирует сохранность данных при значительном увеличении емкости накопителя. Принципиально иной подход предлагает технология MAMR. Ее основу составляет применение особого генератора магнитного поля в микроволновом диапазоне, который устанавливается между полюсами пишущей головки жесткого диска. Возникающий в процессе его работы резонанс усиливает магнитное поле головки, создавая поле более высокой напряженности и позволяя уменьшить размер намагничиваемого «зерна».

59


Проектирование систем автомобильной электроники с использованием синхронизирующих МЭМС-устройств Грэхем Мостин (Graham Mostyn), директор проектного отдела, Microchip Technology

Г е н е рат о р ы , та й м е р ы и с и н т е з ат о р ы с и г н а л о в

В статье рассматриваются преимущества использования МЭМС-осцилляторов в современных автотранспортных средствах по сравнению с традиционными кварцевыми резонаторами.

Все мы являемся свидетелями стремительного развития автомобильной электроники. Те результаты, которые были достигнуты в первой половине прошлого века и, по большей части, относились к усовершенствованию механики (например, автоматическая трансмиссия, гидроусилитель руля, омыватели ветро-

вого стекла, круиз-контроль), стали давно привычными для водителей. Внедрение инновационных решений происходит благодаря росту вычислительных ресурсов полупроводниковых устройств, развитию инфраструктуры беспроводной связи и интернета. Автотранспортные телематические системы, в которых

60

Рис. 1. Электронные подсистемы современного автомобиля

www.elcomdesign.ru

средства связи совместно функционируют с вычислительной техникой, прежде всего позволяют повысить безопасность и удобство вождения машин. Реализация и совершенствование функций этих систем во многом стали возможными благодаря подключению к коммуникационной инфраструктуре.


Безопасность

Сис тема содейс твия управ ле нию автомобилем (ADAS) оповещает водителя о потенциально опасных ситуациях и в некоторых случаях обеспечивает автоматическое управление автотранспортным средством. Радар, видеокамеры кругового обзора, а также интеллектуальные модули обработки изображения в составе ADAS позволяют контролировать расстояние до других объектов, помогать при торможении и парковке, определять скорость движения и т. д. Например, ADAS-система обеспечивает тактильную обратную связь с шофером через руль при попытке выезда автомобиля на другую полосу или автоматически включает тормоза, если другая машина оказывается очень близко. Такая система оповещает соответствующие службы об аварийной ситуации или обеспечивает навигационные услуги, определяя потенциальные угрозы на дороге в реальном времени. Комфорт

МЭМС-осцилляторы и тактовые генераторы

Кварцевые резонаторы исправно служат в осцилляторах, тактовых генераторах уже многие десятки лет и востребованы в большом количес тве приложений. Однако МЭМС-технология позволяет заменить кварцевые кристаллы МЭМС-резонаторами. К преимуществам МЭМС-осцилляторов относится высокая надежность (в т. ч. в соответствии с требованиями AEC-Q100), ударопрочность, стабильность частоты выходного сигнала в расширенном диапазоне рабочей температуры, небольшой размер и малое энергопотребление. Далее мы посмотрим, благодаря чему достигаются эти преимущества. Высокая надежность

Кварцевые кристаллы представляют собой пластины размером в несколько миллиметров, изготовленные из чистого

кварца (диоксида кремния) с покрытием из серебра по обеим сторонам. Кристаллы соединяются с контактами с помощью проводящего эпоксидного состава и помещаются в герметичный корпус (керамический или металлический), заполненный сухим азотом. Перед сборкой резонатор настраивается на заданную рабочую частоту. МЭМС-резонаторы изготавливаются из чистых кремниевых пластин с использованием того же литографического процесса, который применяется для производства полупроводниковых кристаллов. Резонатор состоит из крошечной поликристаллической балки размером 30×50 мкм, которая подвешивается на кремниевые опоры над поликремниевой заземляющей плоскостью (см. рис. 2). Балка прогибается, когда она притянута к этой плоскости под воздействием электростатических (в отличие от пьезоэлектрических) сил (см. рис. 3). Из одной кремниевой пластины изготавливается примерно 100 тыс. резонаторов с использованием стандартного литографического процесса. Кроме того, в их производстве применяется несколько специфичных для МЭМС процедур травления, позволяющих создать объемную структу-

Другие сервисы

Сервисы по управлению автотранспортным средством позволяют отслеживать угнанный автомобиль, дают рекомендации по техническому обслуживанию и обновляют функционал с помощью новых версий программного обеспечения. На рисунке 1 показаны основные функции электронных подсистем современного автомобиля. Автопроизводители выну ж дены решать три основных задачи при реализации системы на базе интеллектуальной технологии связи. 1. Новая технология должна быть надежной – обеспечивать защиту и безопасность пассажиров и пешеходов. 2. Она должна работать при экстремальных температурах. В отличие от электронных компонентов для промышленного применения, которые функционируют в пределах –40…85°C, электронные устройства в автотранспортных средствах рассчитаны на эксплуатацию в диапазонах –40…105°C (класс 2), –40…125°C (класс 1) и –40…150°C (класс 0) или выше 150°C. 3. Размер и вес – наиболее критичные параметры в силу большого числа электронных подсистем и их сложности. Для многих из этих новых приложений осцилляторы и генераторы тактовых импульсов компании

Г е н е рат о р ы , та й м е р ы и с и н т е з ат о р ы с и г н а л о в

Информационно-развлекательные системы (IVI) предоставляют соответствующий контент, обеспечивают GPS-навигацию, связь со смартфонами с помощью сенсорного экрана в автомобиле и распознание речевых команд.

Microchip на базе микроэлектромеханической (МЭМС) технологии являются отличными решениями, которые отвечают потребностям усовершенствованных систем и тех вызовов, с которыми сталкивается автомобильная отрасль.

61 Рис. 2. Микрофотография МЭМС-резонатора перед корпусированием

Рис. 3. Изгибание балки под воздействием электростатической силы

электронные компоненты  №9 2018


Рис. 4. Сварка верхней положки с подложкой устройства

Г е н е рат о р ы , та й м е р ы и с и н т е з ат о р ы с и г н а л о в

Рис. 5. Кристалл резонатора с МЭМС-структурой внутри герметичной полости. Переходные отверстия обеспечивают связь резонатора с внешней поверхностью кристалла

Ударопрочность

Рис. 7. Интегральный осциллятор в корпусе

Рис. 6. МЭМС-кристалл устанавливается на верхнюю часть осциллятора ASIC

ру. Изготавливается так же верхняя подложка, которая протравливается

таким образом, чтобы обеспечить соответствие каждой резонаторной структуре на поверхности подложки устройства. Эти две подложки выравниваются относительно друг друга, прижимаются в вакууме друг к другу и герметизируются в печи при высокой температуре. Сплав двух этих подложек обладает очень высокой прочностью (см. рис. 4). Затем кремниевую

62

Рис. 8. Сравнение стабильности частоты кварцевого и МЭМС-осцилляторов

www.elcomdesign.ru

плас тину разрезают на отдельные МЭМС-кристаллы, резонаторы которых находятся в герметичных полостях, сформированных в верхней подложке (см. рис. 5). В вакууме и при нагревании удаляются все загрязнения, благодаря чему создается очень чистая полость, обеспечивающая высок ую надежность функционирования МЭМС-резонатора. Резонатор в полос ти соединяетс я с внешней частью кристалла с помощ ь ю п е р е хо д н ы х о т в е р с т и й , ч т о позволяет сохранить герметичность полости, тогда как керамический или металлический корпуса, в которые з ак лючены к в арцевые к рис та л лы и осциллятор ASIC, обладают сравнительно большими размерами и не обеспечивают такого уровня чистоты. Кроме того, при дегазации эпоксидного состава происходит небольшой сдвиг частоты.

Каждый МЭМС-кристалл устанавливается на верхнюю часть КМОПосциллятора и соединяетс я с ним с помощью провода (см. рис. 6). Вся сборная конструкция монтируется в корпус с использованием стандартного метода литьевого формования (см. рис. 7). В отличие от кварца, в рассматриваемом случае не требуется финальное герметичное корпусирование. Поскольку МЭМС-резонатор очень мало весит (на несколько порядков меньше по сравнению с кварцевыми


Рис. 9. Структурная схема ADAS-радара дальнего действия

корпусами), расчетное значение его ударопрочности составляет 1 млн g. На практике ограничивающим фактором является корпус, из-за которого ударопрочность такого МЭМС-устройства меньше и равна десяткам тысяч g. Заметим, что у кварцевых устройств этот показатель не превышает 50–100g.

Компактный размер

Размер кристалла резонатора составляет всего 400 кв. мкм, а благодаря меньшим проектным нормам размеры КМОП-кристалла стали меньше 1 кв. мм. Новое устройство DSC6000 выпускается в корпусах, размеры которых составляют 1,6×1,2 мм.

Стабильность частоты

МЭМС-осцилляторы и тактовые генераторы для автомобильных приложений

ADAS -радар дальнего дейс твия определяет скорость, азимут и высоту многих автомашин, пешеходов и других объектов на дороге в сложных условиях городского трафика. Радарная подсистема передает СВЧ-сигналы в окружающее пространство и обнаруживает близко находящиеся объекты по энергии отраженных сигналов с помощью приемника. На рисунке 9 показана реализация ADAS-радара дальнего действия. РЧ TX и РЧ RX – радиосигналы передатчика

Г е н е рат о р ы , та й м е р ы и с и н т е з ат о р ы с и г н а л о в

П а р а м е т р ы М Э М С- р е з о н а т о р а существенно зависят от температуры. Однако это зависимость предсказуема. В схему КМОП-осциллятора входит высокоточный датчик температуры, который совместно с ФАПЧ с дробным коэффициентом деления N обеспечивают автоматическую коррекцию частоты при изменении температуры. МЭМС-резонатор может работать при температуре выше 200°C. Современные МЭМС- осцилляторы обладают очень высокой стабильностью частоты, по крайней мере, до 125°C (см. рис. 8).

и приемника, оснащенных собственными антеннами. Временной интервал между переданным и принятым сигналами с учетом допплеровского смещения частоты позволяет определить расстояние до объекта и его относительную скорость. Это измерение упрощается путем частотной модуляции передаваемого сигнала с помощью DSP-блока и преобразования в сигнал с аналоговой модуляцией. Полученные отраженные сигналы также преобразуются в сигналы основной полосы частот, а затем оцифровываются DSP для использования в качестве данных о расстоянии и скорости. Технология управляемого направленного излучения позволяет получить информацию об азимуте. ИС управления питанием (PMIC) управляет питанием схемы. Первичным источником питания служит автомобильный аккумулятор. Централизованное управление системой осуществляется микроконтроллером (МК).

63

Рис. 10. Структурная схема автомобильной стереосистемы

электронные компоненты  №9 2018


Его связь с иными устройствами реализуется с помощью CAN-шины. В свою очередь, контроллер передает данные водителю и подсистемам. Тактовый МЭМС-генератор DSC2311 имеет два раздельных КМОП-вывода с частотой 20 МГц для МК и DSP. Эта надежн а я в э к с п л у а т а ц и и м и к р о с хе м а обладает ударопрочностью, обеспечивает очень стабильную частоту в диапазоне –40…125°C и позволяет сэкономить занимаемое на плате место благодаря двум раздельным выводам с буферизацией в одном корпусе размером 2,5×2,0 мм.

Г е н е рат о р ы , та й м е р ы и с и н т е з ат о р ы с и г н а л о в

Информационноразвлекательная система

64

Информационно - разв лекатель ная система предоставляет данные об управлении автотранспортным средством и развлекательные сервисы. На рисунке 10 показано взаимодействие специализированного прикладного процессора автомобильной системы с дисплеем сенсорной панели. Блок радио (включая GPS, службы спутниковой и наземной связи) и CD/DVD-приводы воспроизводят музыку, видео и обеспечивают навигацию с использованием в т. ч. аудиосистемы и дисплея. Модуль Bluetooth и Wi-Fi предоставляет связь со смартфонами и видеокамерой кругового обзора, позволяя водителю безопасно маневрировать автомашиной. Микроконтроллер обеспечивает централизованное управление по бортовой сети (как правило, по шине CAN) такими системными функциями как регулирование температуры, контроль над дверными замками и давлением в шинах. 100 ‑МГц так товый HCSL-генератор DSC400 с очень небольшим джиттером обеспечивает связь с флэш-памятью. Он также генерирует тактовые сигналы частотой 12,288 МГц для цифровой аудиосистемы. Отдельный осциллятор DSC6100 представляет собой источник 12‑МГц сигналов для МК внутри прикладного процессора. Сетевая связь

Подключенным к сетям интеллектуальные автомашины с GPS, мультимедийными функциями, блоками

Рис. 11. Структурная схема автомобильной сети

управления двигателем и системой содействия управлению автомобилем требуются сетевые протоколы, поддерживающие большую ширину полосы пропускания по сравнению с протоколом CAN. Компания Microchip выпускает приемопередатчики с поддержкой технологии MOST (Media Oriented Systems Transport – высокоскоростная передача данных в бортовой системе автомобиля), обеспечивающие соответствие требованиям к сетевой связи со скоростями передачи до 150 Мбит/с USB 3.1 Gen 2 (до 10 Гбит/с) и 100Base-T1 Ethernet (до 100 Мбит/с). Контроллеры INIC (Integrated N e t work Inter f ace Contro ll er s) от Microchip для MOST-сетей работают с тактовым сигналом распределенной сети. Кроме того, поскольку требуется также резервный тактовый генератор, в настоящее время для этой цели изучается вопрос о применении компонента DSC6100 размером 1,6×1,2 мм, работающего на частотах 18,432 и 24,576 МГц. Высокоскоростным приложениям с USB 3.1 и 100Base-T1 Ethernet требуются тактовые генератор с малым джиттером во избежание битовых ошибок в потоках данных. На рисунке 11 показана струк т урная схема исходного проекта Ethernet/USB. Джиттер генератора DSC2311, работающего на частоте 25 МГц, составляет около 400 фс (СКЗ) в полосе смещения 100 кГц…20 МГц.

Эти параметры намного лучше минимальных значений, указанных в стандарте. Отличное соответствие нуждам автомобилей следующего поколения

Полупроводниковые синхронизирующие МЭМС-устройства, в полной мере соответствующие требованиям интеллектуальных автомобилей завтрашнего дня с функциями связи, обеспечивают высокую точность (±10 ppm) и надежность, в т. ч. определяемую стандартом AEC-Q100, работают в широком диапазоне температуры –55…125°C, обладают превосходной ударопрочностью и вибростойкостью, имеют компактные размеры. Компания Microchip пред лагает самый полный в отрасли набор МЭМСрешений для синхронизации, к числу ко т о р ы х о т н о с я т с я о с ц и л л я т о р ы с одним выходом, легко замещающие традиционные кварцевые осцилляторы, и генераторы с несколькими выходами, обеспечивающие высокую надежность и высокоточные тактовые сигналы, не требуя использования внешнего кристалла. Более подробную информацию о нашей продукции и средствах про­ ектирования см. на странице MEMS Timing.

СОБЫТИЯ РЫНКА

| Объем рынка IoT в России в 2022 г. может превысить 590 млрд руб. |

По прогнозу компании «ГидМаркет», при реализации оптимистичного сценария развития рынок интернета вещей в России в 2022 г. может превысить 590 млрд руб. Среднегодовой темп прироста рынка составит более 40%. Такой сценарий возможен при оптимистичном прогнозе развития отечественной экономики и поддержке рынка IoT со стороны государства. По пессимистическому сценарию, темпы прироста рынка составят около 15–21% в 2018–2020 гг. и 12–13% в 2021–2022 гг. При любом сценарии рынок IoT будет расти быстрее многих отраслей экономики РФ. www.russianelectronics.ru

www.elcomdesign.ru


РЕКЛАМА


Выбор антипараллельных диодов для минимизации потерь SiC MOSFET Цунин Чжан (Xuning Zhang), Monolith Semiconductor

Дискретные силовые компоненты

В статье рассматривается совместная работа антипараллельных диодов Шоттки с ключами SiC MOSFET. Хотя данный метод позволяет улучшить характеристики приложений, эти преимущества реализуются не в равной мере, а зависят от условий эксплуатации. В некоторых случаях антипараллельные диоды Шоттки ухудшают работоспособность системы.

66

Несмотря на то, что силовые преобразователи предыдущих поколений были ограничены по коммутационной частоте, а у высоковольтных кремниевых ключей были очень высокие потери, новые быстро переключающиеся карбидокремниевые (SiC) MOSFET и диоды, работающие без потерь, не имеют этих ограничений, что позволяет создавать компактные очень эффективные силовые преобразователи. Кроме того, благодаря возможности ключей SiC MOSFET работать при более высоких температурах перехода увеличилась их коммутационная частота, и упростилось управление тепловым режимом, что позволило уменьшить размеры магнитных компонентов и, следовательно, сократить стоимость системы в целом. Стандартная конфигурация фазового плеча, широко распространенная во многих приложениях по силовому преобразованию с повышающей или понижающей топологиями, состоит из коммутационного ключа и антипараллельного диода. Мы обсудим несколько конфигураций, позволяющих реализовать антипараллельный диод в SiC-системах. К этим устройствам относятся дискретные SiC-диоды Шоттки (SBD), собственные диоды SiC MOSFET и SiC MOSFET в комбинации с дополнительными дискретными антипараллельными SiC-диодами Шоттки. Наша задача состоит в том, чтобы оценить влияние антипараллельных SiC SBD на потери ключей SiC MOSFET в результате силового преобразования. С этой целью мы провели ряд экспериментов по определению коммутационных потерь при использовании трех схем с антипараллельными диодами. Эти эксперименты подробно описываются в статье, дается анализ резуль-

www.elcomdesign.ru

Рис. 1. Три схемы с использованием антипараллельных диодов

татов, и обсуждаются компромиссные решения по каждому из этих устройств. Кроме того, даются рекомендации по выбору решения на основе антипараллельного диода, которое минимизирует потери в приложениях по силовому преобразованию. Три решения на основе антипараллельных диодов

На рисунке 1 показаны три основных решения на основе антипараллельных диодов. Мы провели эксперименты с использованием каждого из них. Преимущество использования SiC-диодов Шоттки (см. рис. 1а) заключается в малых коммутационных потерях благодаря малому заряду на обратное восстановление. Эти потери не зависят от величины di/dt, уровня тока и температуры. Однако у них сравнительно большое падение прямого напряжения во включенном состоянии, что приводит к очень большим потерям на проводимость. Использование полумостовой конфигурации и синхронного выпрямления позволяет значительно уменьшить потери на проводимость и повысить эффективность системы. Но поскольку у собственного диода MOSFET-ключа (см. рис. 1б) – p‑n‑структура, заряд обратного восстановления зависит

от величины di/dt, уровня тока и особенно от температуры. Чем меньше габариты решений, обеспечивающих тепловое управление SiC-устройствами, тем шире диапазон рабочей температуры систем. Кроме того, в SiC-приложениях, как правило, высокие уровни di/dt и тока. Под влиянием этих факторов увеличивается заряд обратного восстановления, и, следовательно, возрастают коммутационные потери. Мы проанализируем, как добавление антипараллельных карбидокремниевых диодов Шоттки влияет на SiC MOSFET (см. рис. 1в). Преимущества данного метода зависят от условий эксплуатации приложений и в некоторых случаях ухудшают работу системы. Мы провели серию экспериментов, позволивших определить характеристики внутренних диодов ключей SiC MOSFET и диодов Шоттки, чтобы сравнить величины их потерь и заряда в разных конфигурациях антипараллельных диодов при разных температурах. Точность измерений быстро переключающегося напряжения и тока обеспечивалась генератором импульсов, предназначенным для определения динамических параметров SiC-устройств. Мы сравнили сигналы включения при разных температурах разных схем


Рис. 2. а) схема для измерения коммутационных потерь; б) схема для измерения заряда. Схемы предназначены для сравнения характеристик разных реализаций антипараллельных устройств

Плата для определения динамических характеристик

Мы оптимизировали генератор импульсов для снятия динамических характеристик карбидокремниевых устройств. Он измеряет коммутационные потери перек лючающегос я устройства и заряд антипараллельного диода. Плата д ля измерения параметров импульсов и снятия динамических характеристик позволяет измерять напряжение, ток коммутационных устройств и антипараллельных диодов независимо друг от друга с помощью разных токовых конфигураций (см. рис. 2). Была выбрана полумостовая конфигурация с независимыми схемами управления затвором для каж дого верхнего и нижнего плеч. Плата для измерения динамических характеристик поддерживает схемы реализации только с использованием SiC SBD, SiC MOSFET и комбинации SiC MOSFET с антипараллельным диодом SiC SBD (см. рис. 3). В плате для снятия динамических характеристик использовались широкополосные высоковольтные пассивные зонды и коаксиальный токовый шунт, чтобы обеспечить требуемую точность измерения в нижнем плече схемы. Для измерения коммутационных потерь нижнее плечо переключалось вме-

Рис. 3. Плата для измерения параметров импульсов и снятия динамических характеристик

сте с верхним. Характеристики тока и напряжения в нижнем плече измерялись для расчета коммутационных потерь. Для измерения заряда диодов верхнее плечо переключалось с нижним, после чего измерялось напряжение и ток на антипараллельном диоде. Напря жение и ток из м еря лись с помощью пассивных пробников; напряжение всех зондов измерялось по отношению к земляному потенциалу, как видно из рисунка 2. Поскольку осциллограф в составе измерительной системы не был изолирован, он подключался к земле измерительной системы. Если бы отрицательная шина постоянного тока измерительной платы была бы подключена к земле источника питания, циркулирующий ток в системе стал бы причиной появ ления ошибок при измерении тока. Чтобы их уменьшить, в систему был установлен энергонакопительный банк конденсаторов шины постоянного тока (см. рис. 4). При измерениях этот банк конденсаторов заряжался до требуемого напряжения и механически отсоединялся от источника постоянного тока для изо-

ляции измерительной платы. Единая точка заземления подключалась через осциллограф, чтобы исключить ошибки, вносимые циркулирующим током, который проходил через разные земляные точки. Учитывая, что выходная емкость ключей SiC MOSFET и SBD-диодов очень мала по сравнению с кремниевыми аналогами, была установлена нагрузочная индуктивность с обмоткой в один слой, чтобы уменьшить эквивалентную параллельную емкость (EPC) этой индуктивности. Для определения коммутационных характеристик устройств при высокой температуре они принудительно нагревались. Испытательная установка позволяла нагревать все устройства для измерения их потерь и заряда при высоких температурах. Для контроля условий испытаний использовался двухимпульсный тестовый сигнал. Испытывались следующие устройс т в а : 12 0 0 ‑ В , 8 0 ‑ м О м к л ю ч и S i C MOSFET LSIC1MO120E0080 от Littelfuse и 12 0 0 ‑ В , 10 ‑ А S i C- д и о д Ш о т т к и LSIC2SD120A10 от Littelfuse (см. рис. 5).

электронные компоненты  №9 2018

Дискретные силовые компоненты

с антипараллельными диодами. Значения потерь при включении и заряда рассчитывались по напряжению и току вк лючения. Сравнивались результаты измерения схем только с SBDдиодом (см. рис. 1а), только с ключом MOSFET (см. рис. 1б) и с комбинацией MOSFET+SBD (см. рис. 1в) при разных температурах, чтобы составить рекомендации по такому выбору антипараллельных диодов, который позволил бы минимизировать комму тационные потери.

67


а)

Рис. 4. Схема измерения с помощью приборов, изолированных от цепей заземления, с использованием конденсатора шины постоянного тока

Параметры антипараллельных диодов, показанных на рисунке 1, измерялись при следующих условиях: -- комму тационное напряжение: 600 В; -- коммутационный ток: 5–40 А; -- управляющее напряжение: 20/–5 В; -- внешнее сопротивление затвора: 5 Ом; -- температ ура при проведении испытаний: 25/100°C. Сравнение коммутационных сигналов

Дискретные силовые компоненты

На рисунке 6 показаны результаты измерения напряжения и тока отдельно на коммутационном устройстве и антипараллельном диоде при разных тем-

а)

пературах при проведении испытаний для разных схем с антипараллельными диодами. Очевидно, что сигналы напряжения в переходном процессе схожи с сигналами в других схемах с антипараллельными диодами, где время нарастания импульса не превышает 10 нс, что соответствует высокой скорости коммутации карбидокремниевых устройств. Значения частоты звона несколько различались, что обусловлено разными величинами паразитных индуктивностей в разных схемах с антипараллельными диодами. Однако сигналы тока в переходном процессе различались значительно. Высокие скорости коммутации ключей SiC MOSFET приводят к токовым перегрузкам в коммутационном устройстве

б)

Рис. 5. В экспериментах определялись характеристики: а) N-канальных ключей SiC MOSFET LSIC1MO120E0080 от Littelfuse; б) SiC-диода Шоттки LSIC2SD120A10 от Littelfuse

при его включении из-за емкостного заряда антипараллельного диода. При 25°C (см. рис. 6а и в) использование только SBD в качестве антипараллельного диода привело к появлению минимальной перегрузки по току. В каждой из схем с использованием только вну треннего диода SiC MOSFET и использованием внутреннего диода SiC MOSFET с дополнительным антипараллельным SiC SBD-диодом величина токовой перегрузки была примерно одинаковой и больше, чем в схеме только с SiC SBD-диодом. При температуре 100°C (см. рис. 6б и г) использование только внутреннего диода MOSFET в качестве антипараллельного диода значительно увеличило

б)

68

в)

г)

Рис. 6. Результаты измерения напряжения и тока коммутационных устройств и антипараллельных диодов. Напряжение (сплошные линии) и ток* (пунктирные линии): а) коммутационного устройства при 25°C; б) коммутационного устройства при 100°C; в) антипараллельного диода при 25°C; г) антипараллельного диода при 100°C * В исходной статье значения тока отсутствуют.

www.elcomdesign.ru


перегрузку по току при включении. Эта перегрузка не зависит от температуры, когда в качестве антипараллельного диода применялся SBD-диод или комбинация MOSFET+SBD. Следовательно, при 100°C использование только SiC SBD как антипараллельного диода привело к минимальной токовой перегрузке. Напротив, при использовании только внутреннего диода MOSFET перегрузка оказалась максимальной. Эти результаты подтвердили, что у SiC SBD-диодов – только емкостной заряд, и потому токовая перегрузка не зависит от температуры. Внутренний диод SiC MOSFET продемонстрировал эффект обратного восстановления с учетом того, что токовая перегрузка, зависящая от суммарного заряда (емкостного и обратного восстановления), увеличивалась с ростом температуры. Сравнение потерь при включении и суммарных зарядов

Рис. 7. Характеристики напряжения и тока коммутационного устройства для расчета коммутационных потерь

. (1) Заряд антипараллельного диода рассчитывался по напряжению и току на нем (см. рис. 8). Величина суммарного заряда (включая емкостной, обратного восстановления и другие заряды) была получена путем интегрирования тока антипараллельного диода за время переключения. На рисунке 9а сравниваются потери при включении коммутационного устройства и антипараллельного диода при разных условиях проведения испытаний. Результаты показывают, что при использовании только SBD в качестве антипараллельного диода потери при включении минимальны, т. к. минимален его полный заряд (см. рис. 9б). Кроме того, на этом рисунке показана характеристика «емкостного заряда», которая не зависит от температуры. Таким образом, потери при включении тоже не зависят от температуры. При использовании только внутреннего диода MOSFE T потери пр и вк лючении в озр ас т ают из -з а большего суммарного заряда. Кроме того, эти потери увеличива-

Рис. 8. По значениям напряжения и тока на антипараллельном диоде рассчитывался его заряд

ютс я более чем на 20% при 100°C по сравнению с потерями при 25°C.  Таким образом, при использовании имеется зависимость заряда обратного восстановления от температуры. Заряд обратного восстановления внутреннего диода находится в экспоненциальной зависимости от температуры. Это значит, например, что потери при включении возрастают более чем на 50% при 150°C. Добавление антипараллельного SBDдиода в MOSFET позволяет значительно уменьшить коммутационные потери при высоких температурах. Однако его использование в некоторой степени увеличивает потери при включении при низкой температуре в результате увеличения общей выходной емкости. Добав-

ление антипараллельного SBD-диода позволяет также исключить появление заряда обратного восстановления, обусловленного применением внутреннего диода SiC MOSFET, благодаря чему характеристика суммарного заряда антипараллельного диода перестает быть зависимой от температуры. Минимизация потерь с помощью антипараллельного диода

При измерении потерь и заряда (см. рис. 9) 80‑мОм ключ SiC MOSFET с номинальным током 28 А при Tc = 100°C работал в паре с 10‑А диодом SiC SBD. В схеме, в которой используется только антипараллельный диод SiC SBD, коммутационная энергия при включе-

электронные компоненты  №9 2018

Дискретные силовые компоненты

На рисунке 7 показаны характеристики напряжения и тока коммутационного устройства для расчета коммутационных потерь. Полученные данные обрабатывались при тщательной компенсации фазового сдвига между зондами для измерения напряжения и тока, чтобы устранить составляющие по постоянному току. По этим данным рассчитывалась энергия включения согласно формуле (1):

69


а)

Дискретные силовые компоненты

б) Рис. 9. а) сравнение потерь при включении, мкДж; б) сравнение зарядов в разных схемах с антипараллельными диодами, нКл

70

Рис. 10. Напряжение и ток 10- и 20-А антипараллельных диодов

нии устройства имеет наименьшее значение, но прямое падение напряжения во включенном состоянии этого диода сравнительно велико. Это обусловлено относительно большой потерей диода SBD на проводимость по сравнению

www.elcomdesign.ru

с ключами SiC MOSFET. В приложениях с малым коэффициентом заполнения антипараллельный диод находится в проводящем состоянии большую часть времени, что существенно увеличивает потери системы на проводимость.

Использование полумостовой конфигурации и синхронного выпрямления позволяет в значительной мере сократить потери на проводимость. Однако результаты испытаний показали, что у вну треннего диода SiC MOSFET суммарная зарядовая характеристика в большой степени зависит от значений di/dt, тока и температуры. Добавление антипараллельного SiC SBD -диода значительно уменьшает коммутационные потери, особенно когда температура перехода высока. Однако режим работы этого диода необходимо оптимизировать для минимизации коммутационных потерь, т. к. емкостной заряд и вольт­ амперная характеристика на участке прямой проводимос ти (связанная с потерями на проводимость) определяют номинальный ток устройства. По результатам, представленным на рисунке 10, видно, что использование диода с более высоким номинальным током увеличивает токовую перегрузку при коммутации. Потери при включении выросли на 15% в случае использования 20‑А диода. Следовательно, предпочтительнее использовать антипараллельный диод с меньшим номинальным током. Однако антипараллельный диод должен иметь достаточно большие размеры, чтобы через него проходила большая часть тока по сравнению с внутренним диодом SiC MOSFET. Рекомендуемую величину номинального тока можно найти путем сравнения характеристики при прямом смещении диода SiC SBD с внутренним диодом SiC MOSFET, как видно из рисунка 11. Если в качестве антипараллельного диода использовать 5‑А диод при токе выше 8 А, перепад напряжения на внутреннем диоде окажется меньше, чем на антипараллельном диоде. В этом случае добавление антипараллельного диода существенно не уменьшит потери на обратное восстановление при включении, поскольку ток по-прежнему будет протекать через внутренний диод SiC MOSFET. При использовании 10 ‑А диода в качестве антипараллельного диода предельное значение тока возрастает до 24 А. Поскольку для SiC MOSFET с номинальным током 28 А это приемлемое значение, рекомендуется выбрать 10‑А антипараллельный диод. Заметим, что ток течет только через антипараллельный диод при включении и в мертвое время. Перераспределение тока зависит от паразитной индуктивности корпуса SiC MOSFET, а также от размещения антипараллельного диода и контура питания. Сравнение статических характеристик при прямом смещении позволяет


параллельным диодом, как правило, не являются критичными. Выводы

Рис. 11. Сравнение характеристик прямого тока диода SiC SBD и внутреннего диода SiC MOSFET

выбрать подходящий антипараллельный диод исходя только из величины номинального тока. Поскольку после окончания периода мертвого времени SiC MOSFET вк лючается и начинает

проводить обратный ток, потери, обусловленные использованием антипараллельного диода, должны быть минимальными. Таким образом, требования к тепловому управлению анти-

Результаты испытаний показали, что при использовании SBD в качестве антипараллельного диода перегрузка по току имеет наименьшее значение, но при этом возникают большие потери на проводимость. Из-за заряда обратного восстановления внутреннего диода SiC MOSFET (даже если характеристики этого процесса менее выражены, чем у кремниевых устройств) коммутационные потери зависят от условий эксплуатации. Добавление в MOSFET антипараллельного диода SBD уменьшает зависимость от коммутационных потерь и повышает эффективность системы. Однако в этом случае возрастает стоимость системы и ее размеры. Более того, размеры антипараллельного диода должны быть достаточно велики, чтобы большая часть тока проходила через него, а не через внутренний диод SiC MOSFET.

НОВОСТИ ТЕХНОЛОГИЙ

| Защитить современные ПК от атак поможет своевременная установка соответствующих обновлений |

www.russianelectronics.ru

электронные компоненты  №9 2018

Дискретные силовые компоненты

Нашумевшие уязвимости Meltdown и Spectre уступают место новой атаке Foreshadow, получившей также название L1 Terminal Fault, или L1TF. Ее цель – расширения Intel Security Guard Extensions в процессорах Core и Xeon. Впрочем, в сообщении, опубликованном в блоге Intel, утверждается, что все, кто уже установил на ПК обновления против Spectre и Meltdown, могут считать, что обезопасили свои компьютеры. Соответствующие обновления выпускает и компания Microsoft. Расширения Security Guard Extensions (SGX) изначально создавались для защиты исполняемого кода от просмотра и модификации. Этот функционал поддерживается седьмым и более поздними поколениями чипов Core, а также Xeon соответствующих поколений. Компьютер остается защищенным даже при компрометации BIOS, VMM, операционной системы и драйверов. Это значит, что можно найти защиту от атакующей стороны, получившей полный исполняемый контроль над платформой. В то же время аналитики Wired предполагают, что ошибка Foreshadow способна разрушить стены между виртуальными машинами, а это представляет реальную угрозу для облачных сервисов, которые делят пространство с другими теоретически изолированными процессами. По информации Intel, ошибку L1TF помимо программной блокировки можно устранить и на аппаратном уровне – в процессорах Xeon Cascade Lake, которые должны появиться в текущем году. При этом в Intel считают, что вновь выявленная ошибка, подобно Spectre и Meltdown, не окажет реального воздействия на ПК. В свою очередь, компания AMD рекомендует клиентам, которые используют конфигурации с процессорами AMD Epyc, в т. ч. с виртуальными средами, не устанавливать программные обновления, связанные с Foreshadow. Что все это значит на практике? Следует постоянно обновлять ПО на своих компьютерах. Как отмечают в Wired, уязвимость Foreshadow может оказаться достаточно серьезной, а процессоры Intel Core, как и кристаллы AMD, уже подвергались атакам, использующим недостатки технологий. Установка всех необходимых обновлений намного снижает эффективность атак.

71


Многопроцессорная система с общей памятью на отечественных сигнальных процессорах Дмитрий Матюнин, инженер-программист 1-й категории, matyunin.d@milandr.ru, АО «ПКК Миландр»

Микроконтроллеры и микропроцессоры

В статье рассматривается возможность проектирования многопроцессорной системы с общей памятью на базе сигнальных процессоров со статической суперскалярной архитектурой 1967ВН028 (АО «ПКК Миландр»).

72

Рассмотрим несколько концепций, применяемых для проектирования систем с многопроцессорной архитектурой: симметричную (SMP) и ассиметричную (ASMP) мультипроцессорность. В системах, созданных на базе архитектуры симметричной мультипроцессорности, у каждого процессора появляется возможность выполнять любую задачу независимо от местонахождения данных обработки для этой задачи. В таких системах задачи перемещаются между процессорами, обеспечивая эффективное распределение нагрузки. Такая архитектура – один из простых способов для масштабирования системы, повышения переносимости исходного кода и скорости его разработки. Недостатком таких систем является ограничение числа процессоров в системе, т. к. при их увеличении возрастает нагрузка на общую шину, и вследствие этого общая производительность падает. Кроме того, недостатком можно считать определенную с ложнос ть инструментов разработки и средств (компилятор, операционная система, средства отладки), предоставляющих требуемый для реализации такой системы функционал. В концепции с ассиметричной мультипроцессорностью каждый процессор может использоваться для решения отличной от других процессоров задачи. Явным недостатком такой концепции является низкая масштабируемость системы, сложность обеспечения равномерного распределения общей нагрузки. Однако такой подход накладывает меньше требований к средствам разработки и отладки и, в общем, является более простой альтернативой симметричной мультипроцессорности. Таким образом, в качестве архитек т у р ы д л я м н о го пр о це ссор н о й сис темы с общей памятью на базе

www.elcomdesign.ru

процессора 1967ВН028 была выбрана именно архитектура с симметричной мультипроцессорностью. На рисунке 1 пр е дс т ав л ена с т ру к т у р на я с хе м а к лассической мультипроцессорной системы с общей памятью. Каж дый процессор (ЦП) в такой системе облад а е т с хо ж и м и х а р а к т е р и с т и к а м и по производительнос ти и с хожим образом подключается к общей шине, соединяющей его с другими процессорами, общей памятью и устройствами ввода/вывода (УВВ).

Однако сигнальный процессор (ЦСП) 1967ВН028 имеет более сложную архитектуру из-за наличия у него внутренней (локальной) памяти и устройств ввода/вывода (порты связи, входы внешних прерываний и запросов к каналам прямого доступа к памяти на обслуживание внешних устройств). Дополнительно стоит отметить, что в связи с архитектурными особенностями данного процессора максимальное количество процессоров в одной многопроцессорной системе, или вычислительном кластере, может

Рис. 1. Структурная схема классической SMP-системы

Рис. 2. Структурная схема SMP-системы на базе сигнального процессора 1967ВН028


сорами в системе, что позволяет равномерно распределить общую нагрузку на систему. Однако для обеспечения корректного взаимодействия с устройс твами ввода/вывода процессора категорически необходима возможность привязки задачи, обслуживающей данные устройства, к конкретному процессору. В операционной системе предусмотрено несколько механизмов для привязки задач к конкретному процессору: 1) привязка потока выполнения Pthread к конкретному процессору с помощью атрибу та af f init y; при этом контекст такого потока не изменяется (выполнение происходит по-прежнему из внешней памяти); 2) использование стандартного механизма операционной системы для создания локальных задач (tasks) с контекстом во внутренней памяти. На взаимодействие между задачами, выполняющимися из внутренней памяти, и задачами, выполняющимися из внешней, накладываются некоторые ограничения: значение указателя на локальные данные, расположенных во внутренней памяти, нельзя использовать в исходном виде потоками на других процессорах. Для обеспечения прямого взаимодействия необходимо выполнять обмен данными только через общую память. При разработке такой системы следует учитывать, что скорость внешней шины существенно проигрывает скорости внутренней: внешняя шина имеет разрядность 64 бит и максимальную

Таблица 1. Конфигурации запуска тестирования производительности системы Конфигурация

Количество процессоров

0

1

1

2

2

1

3

2

Используемая память Локальная Общая (внешняя)

частоту 100 МГц, внутренняя – четыре шины по 128 бит и частоту, равную частоте ядра, до 450 МГц. Это явным образом негативно отражается на пропускной способности внешней шины. Кроме того, следует учитывать, что на внешней шине находится более одного процессора. Тем самым вводятся дополнительные условия и требования при разработке программного обеспечения. Чтобы снизить негативный эффект от частого использования внешней шины, при м ен яе тс я м е тод , когд а совместно с внешней памятью активно используется внутренняя. Поскольку при миграции потока с одного процессора на другой прежняя локальная память окажется для него недоступной, требуется некоторая осторожность при таком подходе. Необходимо определить, когда и при каких задачах размещение данных для обработки во внутренней памяти действительно обеспечит необходимый прирост производительности системы, а когда данным условием можно пренебречь. Было проведено тестирование производительности многопроцессорной системы, содержащей два процессора

Таблица 2. Описание тестов производительности системы Тест №

Описание

Примеры

1

Большое количество тривиальных вычислений при множественном обращении к одним и тем же данным

Матричные операции, вычисление свертки, БПФ

2

Большое количество вычислений при небольшом обращении к данным

Табличные расчеты

3

Большое количество вычислений при минимальном обращении к данным

Расчет рядов числа π

73

Таблица 3. Результаты тестирования производительности системы Конфигурация

Тест №1, мс

Тест №2, мс

Тест №3, мс

0

180

82

660

1

92

48

425

2

3780

82

657

3

3380

54

424

Таблица 4. Относительный прирост производительности системы в процентах Конфигурация

Тест №1 0

1

1

+48 ,8

2

–2000,0

–4008,7

3

–1777,8

–3573,9

Тест №2 2

0

1

Тест №3 2

+41,5 +10,6

0

1

2

+35,6

0,0

–70,8

+34,1

–12,5

Микроконтроллеры и микропроцессоры

составлять не более восьми единиц. Эти особенности налагают дополнительные требования к проектированию многопроцессорной системы с общей памятью и вносят в архитектуру определенный элемент асимметричности. На рисунке 2 показана структурная схема SMP-системы на базе процессора 1967ВН028. В этой системе каждый процессор (DSP) имеет собственную в н у т р е н н ю ю п а м я т ь , ус т р о й с т в а ввода/вывода и одинаково подключается, как и в случае с классической системой, к общей шине, связывающей его с общей памятью и другими процессорами. Одним из основных способов для реализации работы такой нестандартной системы может послужить подход, основанный на использовании операционной системы (ОС), которая поддерживает выполнение программы в симметричном многопроцессорном режиме и предоставляет набор механизмов, обеспечивающих многопроцессорное взаимодействие. Такой ОС является операционная система реального времени для мультиагентных когерентных систем (ОСРВ МАКС, «АстроСофт») для многопроцессорных кластерных систем. Эта операционная система предоставляет необходимый набор средств и инструментов для работы такой SMPсистемы: –– POSIX Threads API (программный интерфейс приложения для стандарта POSIX-реализации потоков Pthreads); –– OpenMP API (программный интерфейс приложения, поддерживающий многопроцессорное программирование систем с общей памятью); –– PIPE API (программный интерфейс приложения механизма межпроцессорного взаимодействия); –– TRACE API (программный интерфейс приложения функций профилирования и трассировки пользовательских событий и событий операционной системы по интерфейсу JTAG или порту связи). Главной особенностью операционной системы является то, что она работает в режиме с двумя контекстами выполнения ядра: один во внутренней памяти, другой – во внешней. Такая возможность обусловлена наличием в процессоре 1967ВН028 блока управления (sequencer) исполнения программы, позволяющего выполнять команды программы с хранением данных на стеке во внешней памяти. Контекст выполнения потоков Pthreads относится ко второму типу, т. е. такие потоки выполняются из внешней памяти и предназначены для конкурентных задач. Эти задачи мигрируют между процес-

+34,1

+0,5

–54,6

+35,8

+35,8

+35,5

электронные компоненты  №9 2018


Микроконтроллеры и микропроцессоры

Рис. 3. Диаграмма профилирования работы многопроцессорной системы

74

1967ВН028. Тестирование проводилось при различных конфигурациях и требованиях доступа к общим исходным данным. В таблицах 1–2 приведены конфигурации запуска тестов и описание тестов, соответственно. Результаты тестирования на производительность приведены в таблицах 3–4. По результатам первого теста можно сделать вывод, что при использовании многопроцессорного подхода для решения этой задачи почти достигается теоретический двукратный прирост производительности. Таким образом, для решения данных задач настоятельно рекомендуется размещать общие данные для обработки во внутренней памяти каждого процессора. Во втором тесте отчетливо видно схожее поведение по производительности, что и в первом тесте, достигается существенный прирост при увеличении количества процессоров. Однако размещение данных для вычислений в локальной памяти практически не влияет на прирост производительности. И, наконец, в третьем тесте поведение схоже со вторым тестом за исключением чуть более низкого показателя прироста производитель-

ности. Следовательно, при разработке аналогичной многопроцессорной системы необходимо внимательно анализировать тип решаемой задачи, специфику поведения алгоритма при работе с общими данными. Можно привести несколько ситуаций происхождения данных. В случае, когда данные, участвующие в вычислениях, генерируются и изменяются синхронно на всех процессорах (например, поворотные коэффициенты для расчета БПФ с изменением размера окна), эти данные непременно следует размещать во внутренней памяти процессора. В случае же, когда источником данных является один из процессоров (например, данные были получены по порту связи), эти данные необходимо скопировать во внутреннюю память каждого процессора. Чтобы выполнить такую процедуру и обеспечить максимальную производительность, следует воспользоваться операцией широковещательной записи. Данный тип операции прис у тс твует в процессоре 1967ВН028 и обеспечивает одновременное копирование данных с одного процессора на другие через общую шину в обход внешней памяти.

Рис. 4. Диаграмма загруженности многопроцессорной системы

www.elcomdesign.ru

Немаловажную роль в проектировании любых многопроцессорных систем играет наличие инструментов и средств для анализа ее работы, возможности быстрой отладки, тестирования и дальнейшей оптимизации производительности. В данном случае интегрированный в операционную систему механизм профилирования и трассировки дает такую возможность. На рисунке 3 приведена диаграмма профилирования двухпроцессорной системы с общей памятью. На ней отображены задачи, выполняющиеся на каждом процессоре, а также события, происходящие на каждом из них. Событиями могут выступать как системные сообщения (создание задачи, смена приоритета, освобождение семафора, ожидание захвата мьютекса и т. д.), так и пользовательские с произвольной информацией. На рисунке 4 показана временная диаграмма загрузки системы. В заключение заметим, что представленный в данной статье подход проектирования многопроцессорных систем с общей памятью на базе процессора 1967ВН028 имеет свои преимущества. Во‑первых, ОСРВ МАКС для многопроцессорных кластерных систем предоставляет большой набор средств и инструментов для ускорения разработки, отладки и тестирования таких. Во‑вторых, обеспечивается определенный уровень масштабируемости системы. В‑третьих, реализуется возможность кросс-платформенности разрабатываемого программного обеспечения. Однако из-за особенностей архитектуры процессора 1967ВН028 (наличие быстрой внутренней памяти и локальных устройств ввода/вывода) на разработчика накладываются дополнительные требования при проектировании.


РЕКЛАМА


Вычислительные ресурсы процессоров NeuroMatrix c плавающей точкой в задачах обработки больших потоков данных Сергей Мушкаев, Андрей Бродяженко, Александр Болотников, ЗАО НТЦ «Модуль» Статья посвящена демонстрации принципов распараллеливания вычислений на процессоре NeuroMatrix NM6407 при работе с большими потоками данных. Сначала рассматривается структура векторного узла процессора NeuroMatrix NM6407 с плавающей точкой, а также распределенная структура памяти и шин данных. Далее на примере простейших базовых задач демонстрируется поведение процессора в динамике. Раскрывается ряд особенностей, которые следует учитывать для сбалансированной и эффективной загрузки ячеек. Наконец, демонстрируется подход к реализации более сложных алгоритмов.

Микроконтроллеры и микропроцессоры

Введение

76

Современные архитектуры процессоров обладают сложной распределенной системой памяти, могут иметь гетерогенную структуру с несколькими процессорами. Каждый из них может включать несколько сложно-функциональных вычислительных узлов. Для эффективного программирования специализированных процессоров необходимо учитывать структуру и принципы взаимодействия отдельных узлов. Мы рассмотрим вопросы программирования задач по обработке больших потоков данных с плавающей точкой на процессоре NM6407 производства НТЦ «Модуль», опишем основные вычислительные узлы, режимы и особенности их использования в наиболее типовых задачах, а также принципы распараллеливания не только по вычислительной нагрузке, но и по взаимодействию с памятью.

Рис. 1. Программная модель процессора NM6407

Архитектура процессора

Рассмотрим процессор, начиная от обобщенной структуры и заканчивая уровнем элементарных базовых операций. На рисунке 1 представлена структурная модель процессора: два независимых процессорных узла с плавающей и фиксированной точкой NMPU0 и NMPU1. Каждый узел имеет собственную накристальную однотактовую память, DMA-контроллер и DDRинтерфейс c внешней памятью. Доступ к памяти осуществляется по 64‑разрядным шинам. Обмен данными между процессорными узлами может осущест-

www.elcomdesign.ru

Рис. 2. Процессорная система NMPU0


Рис. 3. Процессорная ячейка FPU

Рис. 4. Структура операционного узла: а) для чисел двойной точности; б) для чисел одинарной точности

Микроконтроллеры и микропроцессоры

вляться через общую разделяемую память (Shared Memory 0, 1). Процессорный узел NMPU0 с плавающей точкой состоит из управляющего RISC-ядра и четырех вычислительных ячеек (FPU Cell0–Cell3). Целочисленный процессорный узел NMPU1 также включает свое RISC-ядро и матрично-векторный умножитель [1]. На рисунке 2 показана схема процессорного узла NMPU0. Внутренняя память разделена на восемь банков по 1128 Кбайт псевдодвухпортовой памяти, к которым может осуществ лятьс я одновременный внешний доступ (например, со стороны DMA или коммуникационных портов) и обращение со стороны процессорного ядра. Память и процессорные ячейки объединены между собой коммутатором (switch 9 → 11), обеспечивающим параллельное обращение сразу к нескольким банкам памяти. Как видно из рисунка 2, каждая процессорная ячейка имеет две входных шины и одну выходную, что позволяет ячейке параллельно принимать два потока данных и отдавать один. Процессорные ячейки осуществляют доступ в память через шинный коммутатор 9 → 11. В общей сложности ко всем четырем ячейкам (Cell0–Cell3) коммутатор обеспечивает максимум четыре одновременных доступа на чтение из памяти и два – на запись. Каждая ячейка FPU Cell0–Cell3 состоит из операционного узла и восьми векторных регистров, вмещающих до 32‑х 6 4 ‑разрядных регис тровых ячеек (см. рис. 3). В зависимости от режима работы операционного узла каждая регистровая ячейка (reg0, reg1–reg31) векторного регистра интерпретируется либо как 64‑разрядное число с плавающей точкой двойной точности, либо как пара чисел одинарной точности, либо как комплексное число одинарной точности. На рисунке 3 показано, как векторные регистры выступают в качестве входных и выходных операндов для векторных инструкций операционного узла (Operation Unit). Коммутатор 8 → 4 позволяет одновременно выбрать любые три регистра в качестве входных операндов, а из четвертого осуществлять выгрузку в память. Коммутатор 3 → 8 обеспечивает на фоне вычислений параллельную загрузку двух регистров и одного регистра с выхода вычислителя по обратной связи новой порцией данных. Пересылки могут осуществляться не только между памятью и регистрами, но и между регистрами процессорных ячеек. Данные из ячеек (reg0, reg1, reg31) векторных регистров выбираются последовательно с темпом в один такт и обрабатываются заданной инструкцией.

77 Рис. 5. Способы загрузки умножителя

Как показано на рисунке 4, операционный узел работает с векторами трех типов: данные двойной точности, комплексные числа одинарной точности и числа одинарной точности. Операционный узел выполняет над векторными регистрами SIMD операции сложения, вычитания, умножения, взятие модуля, выделение знака, маскирование, а также операцию вида A ∙ х + b. В режиме комплексных чисел (мнимая и действительная часть по 32 бита) или в режиме

64‑разрядных чисел двойной точности. A, x, b – обычные числа. В случае одинарной точности A является матрицей 2×2, x и b – векторы из двух 32‑разрядных элементов с плавающей точкой. Выход с операционного вычислителя замкнут на вход через коммутатор 3 → 8, что позволяет накапливать результат вычислений в векторных регистрах. В каждом процессорном такте происходит последовательная выборка данных из ячеек (reg0, reg1, reg31) век-

электронные компоненты  №9 2018


Микроконтроллеры и микропроцессоры

Рис. 6. Операции умножения

торных регистров (vreg0.vreg8), между которыми осуществляется заданное командой действие. Операции между векторными регистрами могут выполняться и поэлементно (например, при суммировании двух векторных регистров), и выборочно, где в качестве второго операнда используется не весь векторный регистр, а только одна регистровая ячейка (например, при прибавлении к вектору числа). В режиме двойной точности или в комплексном режиме в каждом такте осуществляется операция над отдельными числами. В режиме одинарной точности операционный узел также может выполнять умножение с накоплением матрицы 2×2 на вектор из двух элементов. Схематично процесс умножения двух чисел с накоплением показан на рисунке 4а. На рисунке 4б представлена схема умножителя в режиме одинарной точности, где в весовые коэффициенты матрицы 2×2 (B0, B1, B2, B3) загружаются числа из пары векторных регистров [vreg2, verg3].

78

Существуют четыре способа загрузки коэффициентов в матричный умножитель (см. рис. 5): -- вертикальная загрузка (коэффициенты [B0, B1] лежат смежно в vreg2; [B2, B3] – в vreg3); -- горизонтальная загрузка (коэффициенты [B 0 , B2] лежат смежно в vreg2; [B1, B3] – в vreg3); -- диагональная загрузка (коэффициенты [B1, B2] лежат смежно в vreg2); -- загрузка для комплексного умножения (коэффициенты [B.im, B.re] лежат смежно в vreg2). На рисунке 6 показаны варианты загрузки в весовые коэффициенты матричного умножителя и схема умножения. В зависимости от режима вычислитель осуществляет разные математические операции. Вертикальная загрузка позволяет выполнять транспонирование или умножать матрицу на вектор-столбец. Горизонтальная загрузка – умножение вектора-строки на матрицу. При диагональной загрузке осуществляется поэлементное умножение. Специальный инвертирующий вход

сумматора на рисунке 6 в позволяет осуществлять комплексное умножение. Умножение матрицы на вектор-столбец

Рассмотрев элементарную команду умножения, распространим процесс до полного умножения матрицы на вектор произвольного размера. Несмотря на то, что процессор поддерживает SIMD-инструкции с числом повторений до 32, для упрощения опишем процесс умножения, используя четыре векторных регистра глубиной только в одно 64‑разрядное слово: -- регистр, накапливающий результат (пара чисел [D00, D01] на рисунке 7); -- регистр, содержащий данные вектора-столбца А ([А20, A30] на рисунке 7); -- регистровая пара для хранения матрицы 2×2 коэффициентов B ([B02, B03] и [B12, B13]). На рисунке 7 показаны матрица B размером 8×8 и вектор A из восьми элементов в памяти, а также порядок загрузки данных в векторные регистры (регистры обозначены цветными овальными прямоугольниками) с последующим умножением и накоплением в выходной регистр. Процесс вычисления пары чисел D00, D01 состоит из четырех шагов. На рисунке 7 справа развернута схема умножения второго шага. Если повторить эту операцию аналогично еще три раза, получится полное умножение матрицы на вектор. Заметим, что загрузка множителей B происходит вертикально (обозначено пунктиром). Другими словами, матрица коэффициентов 2×2 загружается в умножитель транспонированной, что математически позволяет реализовать умножение матрицы на вектор-столбец. Умножение вектора-строки на матрицу

Рис. 7. Умножение матрицы 8×2 на вектор-столбец

www.elcomdesign.ru

Д ля умножения век тора- с троки на матрицу загрузка коэффициентов матрицы B производится горизонталь-


но по две пары чисел: [B20, B21] и [B31, B30] (объединены пунктиром на рисунке 8). Процесс вычисления пары чисел [D 00, D 01] осуществляется также за четыре шага и показан на рисунке 8. Оптимизация работы с памятью

Рис. 8. Умножение вектора-строки на матрицу 2×8 одинарной точности

производительность, принимая время исполнения векторной команды за один такт. Далее мы рассмотрим использование SIMD-инструкций с числом повторений 8 на примере перемножения матриц 8×8. Перемножение матриц на одной ячейке

Умножение двух матриц построено на принципах умножения описанного выше вектора-строки на матрицу. Главным отличием является то, что теперь на первом шаге две пары чисел ([B00, B01]

и [B10, B11]) матрицы B можно умножать сразу на два столбца ([Аx0, Ax1]) матрицы А, которые целиком помещаются в векторный регистр глубиной в восемь слов (см. рис. 9). На втором шаге матрица ([B 20 , B 21] и [B 31, B 30 ]) умножается на следующие два столбца ([А x2, A x3]) с прибавлением к вектору-результату от первого шага и т. д. За четыре шага полностью осуществляется процесс умножения двух матриц. В отличие от векторно-матричного умножения, в данном случае не требуется непрерывная перезагрузка коэффициентов

Микроконтроллеры и микропроцессоры

В приведенных примерах присутствуют три потока данных: два входных для чтения A и B и один выходной для записи результата D. Поскольку на каждом шаге умножения требуются две пары чисел матрицы B, на подкачку данных затрачиваются два такта. Если данные массивов A, B и D размещены по разным банкам памяти, то обращение к ним осуществляется параллельно, и умножение займет два такта. Однако если матрицу B разбить на четные и нечетные строки и хранить их в разных банках, то загрузку можно ускорить до одного такта. Мы рассмотрели умножение векторных регистров глубиной в одно слово. Поскольку SIMD-инструкции с малым числом повторения (<4) менее эффективны из-за задержек в конвейере, значения в тактах приведены условно, для наглядности. Однако указанные цифры соответствуют действительности для SIMD-инструкций с большим числом повторений. При таком допущении справедливо оценивать суммарную

79

Рис. 9. Последовательность операций матричного умножения

Рис. 10. Временная диаграмма чтения–записи данных при умножении матриц 8×8

электронные компоненты  №9 2018


Микроконтроллеры и микропроцессоры

80

Рис. 11. Последовательность операций матричного умножения в пакетном режиме на четырех ячейках

матричного умножителя. Поскольку коэффициенты хранятся в регистрах в течение восьми тактов умножения, ее подкачка осуществляется на фоне непрерывного чтения матрицы А и не оказывает влияния на производительность. На рисунке 10 показана временная диаграмма процессов умножения и обращения в память. Из рисунка видно, что если массивы расположены в разных банках, то доступ к ним осуществляется параллельно на фоне умножения. За счет т. н. «зацепления» производительность всей функции определяется лишь временем чтения матрицы А и временем разгона конвейера.

сылок между ячейками (см. рис. 11). При матрично-матричном умножении не требуется непрерывная подкачка данных матрицы B. Во время умножения в каждой ячейке образуются временные окна, которые можно использовать для чтения данных B другими ячейками. На рисунке 12 показана временная диаграмма обращений в память каждой ячейкой. Видно, что конфликтов по обращению к памяти не происходит благодаря «зацеплению». В результате каждая ячейка совершает четыре умножения с накоплением

(MAC) с темпом в один такт, а производительность всего процессора достигает 16MAC или 32FLOPS за такт. Заметим, что значение 16MAC является пиковой производительностью. Реальные цифры меньше и зависят от размеров матриц, где сказываются потери, обусловленные расходами на вызов функции, инициализацию и разгон конвейера вычислений. При больших размерах матриц реальная производительность приближается к предельной и достигает 15,8MAC за такт (31,6FLOPS).

Распараллеливание матричного умножения на четыре ячейки

Поскольку сопроцессор с плавающей точкой содержит четыре вычислительные ячейки, предыдущую схему умножения распространим на все четыре ячейки следующим образом, вертикально разбив матрицу B на четыре равных подматрицы (см. рис. 11). Каждое умножение подматрицы производится согласно описанной выше схеме. Поскольку во всех четырех ячейках участвуют одни и те же данные матрицы А, обращение к памяти в массив A можно сократить в четыре раза за счет регистровых пере-

www.elcomdesign.ru

Рис. 12. Временная диаграмма чтения–записи данных при умножении матриц 8×8


Анализ производительности в зависимости от размера данных

Рис. 13. График зависимости среднего числа операций за такт от длины векторов для функций и Таблица 1. Производительность функций линейной алгебры (BLAS) на процессоре NM6407 для чисел с плавающей точкой одинарной точности Функция

AB = C

Кол-во ячеек

Макс. (расчетная) производительность, FLOPS/цикл

Реальная производительность, умножения/такт

1

2

1,89

1

4

3,78

1

2

1,90

3

~4

3,79

3

~4

3,84

4

32

31,6

Максимально задействовать все четыре ячейки удается при матричном умножении, где достигается максимально возможная пиковая производительность 32FLOPS за такт. В таблице 1 приведены значения предельной (расчетной) и реальной производительностей некоторых функций линейной алгебры из состава библиотеки BLAS для данных одинарной точности. Значения производительности были замерены на максимальных объемах данных, помещающихся во внутренних

банках памяти процессора, что соответствует размеру векторов 32000 или матриц 180×180. Как видно из полученных результатов, реальная производительность составляет 95–98% от теоретически возможной. Приведенные функции жестко поддерживают интерфейс стандартной библиотеки BLAS (Basic Linear Algebra Subprograms), что в некоторых случаях при их реализации ограничивает программиста в распределении вычислений на несколько ячеек,

Рис. 14. Структурная схема алгоритма БПФ-256

электронные компоненты  №9 2018

Микроконтроллеры и микропроцессоры

Для процессора NM6407 были реализованы простейшие функции линейной алгебры из состава библиотеки BLAS. Производительность функций определяется тремя факторами: числом используемых вычислительных ячеек в задаче; распределением данных по разным банкам; размером данных. Рассмотрим простейшие функции линейной алгебры из состава библиотеки BLAS: умножение вектора на скаляр и поэлементное перемножение векторов . Данные функции являются достаточно простыми – всего с одной операцией умножения на каждый элемент. Для умножения вектора на константу и поэлементного умножения чисел одинарной точности ячейка работает в режиме диагональной загрузки (см. рис. 6б) и способна осуществлять по два умножения за такт. В данном случае максимальная производительность ограничивается лишь скоростью обращения за данными и составляет 2FLOPS за такт, остальные три ячейки из четырех остаются незадействованными. Для функций на рисунке 13 приведен график зависимости усредненного числа операций за такт от длины векторов. Как видно из графика, при большом объеме данных (более 1000 элементов) реальная производительность приближается к 2FLOPS за такт. При малых размерах (менее 1000) сказывается влияние потерь на вызов С‑функции, чтение параметров и прочих подготовительных операций. В случае скалярного произведения ячейка загружается вертикально (пара чисел вектора x загружается в правую половину, а нули – в левую, как видно из рисунка 6а). Ячейка выполняет два умножения с накоплением, что соответствует 4FLOPS за такт. Рассмотрим более сложную функцию вида , где А – матрица размера h × L; α и β – скаляры; и – векторы длиной h. Эту функцию можно реализовать, задействовав уже три ячейки. Первая вычисляет A , результат с помощью регистровой пересылки поступает на вторую ячейку, где умножается на α, а третья ячейка вычисляет β и прибавляет к результату от второй. Первая ячейка выполняет матрично-векторное умножение, которое является самой длинной операцией. Вторая и третья ячейки загружаются лишь по мере готовности вектора результата от первой. Производительность, главным образом, определяется временем вычисления A . Для больших размеров А производительность можно приблизительно принять равной 4FLOPS за такт.

81


а так же не позволяет максимально р а с п а р а л л е л и т ь п о т о к и в хо д н ы х и выходных данных. Во второй колонке таблицы указано количество задействованных ячеек для разных функций. Видно, что оно не везде равно максимальному числу. Максимальная произ-

водительность достигает предельного пикового значения (32 FLOPS/такт) для функций матричного умножения, где участвуют все четыре ячейки. Однако при реализации частной задачи, как правило, всегда имеется возможность эффективнее распре-

делить данные между банками памяти, распараллелив обмен с памятью. Кроме того, можно сгруппировать вычисления до более сложных выражений и задействовать, таким образом, большее число вычислительных ячеек. Так, в целях поддержки процессора математическими функциями, помимо библиотеки BL A S, разрабатываются аналогичные, либо более сложные функции с максимальн о й а д ап т ац и е й п од арх и тек т у ру п р о ц е ссо р а . Э т и фу н к ц и и р а з р а батываютс я в сос таве библиотеки NMPP [2]. Интерфейс этих функций уже отличается от библиотеки BLAS, но в некоторых с лучаях позволяет значительно повысить производительность (в два и более раз). Реализация быстрого преобразования Фурье (БПФ)

Микроконтроллеры и микропроцессоры

Рис. 15. Схема вычисления двух блоков ДПФ-8a

82

Рис. 16. Подробная схема вычисления ДПФ-8

www.elcomdesign.ru

В зак лючение рассмотрим реа лизацию алгоритмически с ложных потоковых вычислений на примере БПФ‑256. Стандартным подходом для реализации БПФ является использование схемы Кули–Тьюки [3]. Однако для процессора NM6407 она не совсем эффективна. Из-за сложного порядка выборки данных на первых слоях алгоритма не удается использовать SIMD-инструкции с большим числом повторений. Кроме того, алгоритм необходимо адаптировать под четыре вычислительные ячейки и 8‑банковую структуру внутренней памяти. Оптимальным решением стало использование комбинированной с хемы, где первый слой реализован через последовательность дискретных преобразований Фурье по основанию 8 (см. рис. 14). Последующие слои представляют собой набор классических «бабочек» с комплексным умножением, сложением и вычитанием. Подробно алгоритм БПФ описан в статье [4]. В этом разделе наибольший интерес представляет блок ДПФ‑8, поэтому разберем только его реализацию. Блок ДПФ представляет собой умножение матрицы 8×8 на описанный ранее вектор, но поскольку в алгоритме БПФ‑256 число таких блоков равно 32, появляется возможность эффективнее задействовать процессорные ячейки. Как уже упоминалось, ДПФ‑8 можно вычислить на NM6407 так же, как и умножение матрицы на вектор. На двух процессорных ячейках вычисляется один блок ДПФ‑8. Соответственно, четыре ячейки вычисляют сразу два блока ДПФ‑8, а матрица коэффициентов W для каждого ДПФ‑8 одна и та же и хранится в двух ячейках FPU Cell одновременно (см. рис. 15). Рассмотрим подробнее вычисление блока ДПФ‑8. Столбцы матрицы W – W (, 1),


Таблица 2. Сравнение производительности некоторых функций БПФ на разных процессорах БПФ

NM6407 (500 МГц) Такты

Время, мкс

C674x DSP Такты

Pentium 4

Время, мкс

Такты

ARM Cortex А-15 Такты

Время, мкс

128

1290

2,58

1987

256

2276

4,55

2401

5,27

4178

8644

8,64

512

5587

11,17

9725

1024

14523

29,04

10950

24,01

22382

43916

43,92

Выводы

При работе с большими массивами данных за счет распределенной структуры памяти на восемь банков и конвейерного выполнения команд процессор показывает высокую степень распараллеливания потоков данных, в которых реальная производительность достигает порядка 95–98% от расчетной. При максимальной загрузке процессорных ячеек в операциях типа матричного умножения производительность достигает 98% от пиковой. Анализ и сравнение производительностей, представленных в таблицах 1–2, показывают высокую эффективность процессора в задачах обработки больших потоков данных, однако для достижения максимально высоких показателей необходимо учитывать все особенности процессора и адаптировать вычисления под его архитектуру.

СОБЫТИЯ РЫНКА

| В Москве прошел ежегодный Форум Altium Forum 2018 |

Компания Altium Limited, ведущий мировой разработчик программного обеспечения для проектирования электроники, в пятый раз провела ежегодный Форум, посвященный инновациям в системах автоматизированного проектирования (САПР) электронных устройств на базе печатных плат. Программа Форума состояла из секционных дискуссий и семинаров. Первая секция была посвящена новой версии флагманского продукта компании Altium Designer 18.1, в котором были улучшены базовые технологии, а также устранены ошибки, о которых сообщали пользователи, доработаны функциональные возможности, представленные в Altium Designer 18.0. На втором секционном блоке было представлено новое решение Altium NEXUS, позволяющее упростить групповую проектную работу инженеров. Особенностью Altium NEXUS является то, что серверная часть может находиться не только на серверном оборудовании организации, но и в «облаке», что было недоступно ранее. Участники третьей секции смогли подробнее узнать о математическом моделировании электрических цепей в среде Altium Designer, а также анализе цепей питания в PDN Analyzer. Кроме того, на Форуме работала интерактивная площадка, где каждый посетитель мог самостоятельно оценить нововведения и получить индивидуальную консультацию от технических экспертов Altium по любому из решений компании.

83

www.altium.com/ru

РЕКЛАМА

Литература 1. Косоруков Д. Е., Эйсымонт А. Л., Осипов В. Г., Панфилов А. П., Черников В. М., Виксне П. Е., Шелухин А. М., Насонов И. И. СБИС на базе ядра NMC3 для программного приемника навигационных сигналов. Сборник докладов Международной конференции «Микроэлектро­ ника 2015». 2. https://github.com/RC–MODULE/nmpp.

3. Отнес Р. , Эноксен Л. Прик ладной ана лиз временных рядов. М. Мир. 1982. 4. Адаптация алгоритма БПФ для матрично-векторного сопро­ цессора nm6407 с плавающей точкой. 19‑я Международная конферен­ ция «Цифровая обработка сигналов и ее применение».

Микроконтроллеры и микропроцессоры

W (, 2), W (, 3) и W (, 4) – загружаются из памяти в векторные регистры VR1, VR2, VR3 и VR4 ячейки CELL0 (см. рис. 16). Аналогично, из памяти в регистр VR0 той же ячейки попадают первые четыре элемента вектора . Затем происходит поэлементное комплексное умножение с накоплением каждого столбца матрицы, находящегося в векторном регистре процессорной ячейки, на один из первых четырех элементов вектора , находящихся в VR0. Накопление результата происходит в регистре VR5. Поочередный выбор действующего элемента регистра VR0 на каждом этапе вычислений показан розовым квадратом на рисунке 16 и осуществляется автоматически с помощью специальной команды. Такие же операции, как в CELL0, выполняются в CELL1. Причем, 8‑элементный вектор, полученный в ходе вычислений в CELL0, копируется в один из регистров CELL1 и затем суммируется с регистром VR5 ячейки CELL1. После этого в регистре VR5 находится 8‑элементный вектор – ДПФ‑8. При вычислении серии блоков ДПФ‑8 (от 100 блоков и больше) время, затраченное на вычисление одного такого блока, равно 83 тактам. Причем коэффициенты матрицы W хранятся в векторных регистрах ячеек и повторно используются при вычислении каждого блока ДПФ‑8 из всей серии. При однократном вычислении такого блока число тактов увеличивается до 127. Так получается, что векторный сопроцессор с плавающей точкой работает эффективнее с большими потоками данных, в рассматриваемом случае – с серией блоков ДПФ‑8. В таблице 2 приведены значения производи тельнос ти функций БПФ в так тах д ля процессо ров NM6407, Texas Instruments C674x, Intel Pentium 4 и ARM Cortex A‑15. На основе этих данных можно сдел а т ь в ы в о д , ч т о N M 6 4 07 о б г о н я е т в с е п р о ц е с с о ры из таблицы при вычис лении БПФ ‑256. Ос тальные функции БПФ NM6 407 вычис ляет быс трее Pentium 4 в среднем на 22%.

электронные компоненты  №9 2018


Микроконтроллеры S32V232 и S32V234 от NXP для сбора и обработки видеосигналов Евгений Говоров, инженер

В статье рассматриваются недавно выпущенные микроконтроллеры S32V232 и S32V234. Их основное назначение – обработка видеосигналов и графики. Микроконтроллеры представляют собой удобный и довольно мощный инструмент. Достаточно сказать, что процессорный узел состоит из двух двуядерных кластеров на основе ARM Cortex-A53, в состав которых входит векторный процессор NEON.

Объем мультимедийной информации постоянно возрастает. Например, видеокамеры получили настолько широкое использование, что едва ли кто-то сможет подсчитать их число, – они установлены практически на каждом углу,

Микроконтроллеры и микропроцессоры

Словарь

84

2D-ACE – контроллер дисплея ADAS – активная система помощи водителю APEX-CL – обработка изображения с выделением требуемых признаков APU – модуль матричной обработки данных AXBS – матричный коммутатор (Crossbar Switch) AXI – шинная матрица, на которой базируется фирменное сетевое соединение CoreLinkNIC-301 CCI-400 – узел соединения кэш-памяти GC3000 – модуль обработки 3D-графики CMU – монитор тактовой частоты CRAM – ОЗУ кода и данных в модуле управления потоком данных для видеосистемы VSEC CSE – криптографический модуль CSI – последовательный интерфейс камеры CU – 16-бит вычислительный модуль DFS – цифровой дробный делитель частоты ЕСС – код с исправлением ошибок EDC – индикация ошибки кода ENET – входной интерфейс с компрессией изображения FDMA (FastDMA) – быстрый прямой доступ к памяти для обмена данными между SRAM и DDR FIRC – встроенный осциллятор на 48 МГц FPU – модуль обработки данных с плавающей запятой FTM – модуль таймеров FlexTimer FXOSC – внешний 40-МГц осциллятор GIC-400 – контроллер прерываний GC3000+DEC200 – процессор обработки 3D-графики HPSM – высокоскоростное матричное соединение для общего использования памяти IPUS – скалярный процессор обработки изображений IPUV – векторный процессор обработки изображений ISP – процессор обработки изображений KRAM – память ядра NEON – векторный процессор NIC-301– фирменное сетевое соединение CoreLink PIT – таймеры формирования прерываний с заданным периодом PLL – модуль фазовой автоподстройки частоты PRAM – память платформы SCU – аппаратный блок управления SDHC – цифровой хост-контроллер с защищенным доступом SRC – контроллер системного сброса STM – модуль системного таймера TLB – буфер ассоциативной трансляции, ассоциативная память VIULite – модуль видеоинтерфейса VSEQ – модуль управления потоком данных для видеосистемы YUV – метод кодирования цветового сигнала с разделением яркости цвета.

www.elcomdesign.ru

начиная с подъезда и заканчивая автомобилем. Соответственно растет потребность в устройствах передачи и обработки видеоинформации. К таким устройствам относятся и недавно выпущенные компанией NXP микроконтроллеры S32V232 и S32V234. Эти микроконтроллеры (МК) предназначены для сбора и обработки видеосигналов, а также для построения интерфейса человек–машина. Модификация МК S32V234 располагает большими функциональными возможностями, которые и рассматриваются в настоящей статье. Однако, учитывая полную унификацию обоих МК вплоть до типа корпуса, все описанные функции в равной степени относятся и к МК S32V232 за исключением нескольких отличий, которые перечислены в таблице. Структурная схема МК S32V234 показана на рисунке 1. По функциональной безопасности эти МК соответствуют требованиям стандарта ISO 26262 (российский стандарт ГОСТ Р ИСО 26262). Новые МК позволяют обрабатывать информацию с видеокамер APEX2, ISP, GPU и других современных видеокамер. МК в полной мере поддерживаются экосредой, в т. ч. средствами и библиотеками. Помимо процессорных кластеров, каждый из которых состоит из двух ядер ARM Cortex-A53, в МК интегрировано ядро ARM Cortex-M4, которое входит в состав многих МК, выпускаемых практически всеми производителями МК. По этой причине мы не будем описывать его в настоящей статье. Ядро Cortex-M4 предназначено для управления вводомвыводом и поддержки платформы AUTOSAR. И уж тем более, мы не станем отнимать время читателя описанием процессора ARM Cortex-M0+, входящего в состав модуля VSEC. Напомним, что S32V232 и S32V234 – не универсальные, а специализированные МК, основное назначение которых состоит в обработке видеосигналов. Следовательно, основное внимание в статье уделено модулям обработки видеосигналов и графики. При использовании в автомобильной электронике эти МК обрабатывают информацию с камер переднего и заднего видов, а также с камер кругового обзора. Таблица. Основные отличия МК S32V232 и S32V234 в наихудшем случае.

Во всех случаях подаются ступенчатые импульсы тока величиной 1 А

Модификация МК

Микро­ процессорные кластеры

Объем встроенного ОЗУ, Мбайт

Тактовая частота, МГц

Сторожевые таймеры

S32V232

1

3

800

3

S32V234

2

4

1000

5


Рис. 1. Структурная схема МК S32V234

модуля SCU составляет 128 бит. Максимальная частота тактирования ядра равна 1000 МГц. При использовании многоядерных систем неизбежно возникает вопрос о распределении прерываний. В МК S32V 2 3 4 э т а п р о б л е м а р е ш а е т с я с помощью модуля контроллера прерываний GIC‑400, в состав которого входят регистры управления источниками прерываний. Контроллер обеспечивает централизованное управление и маршрутизацию прерываний, причем одно и то же прерывание может

Рис. 2. Связь между процессорными кластерами и контроллером GIC-400

адресоваться либо одному, либо одновременно нескольким ядрам. Оба процессорных кластера обслуживаются одним модулем GIC‑400. Каждое процессорное ядро имеет индивидуальный интерфейс с контроллером GIC‑400. Связь между процессорными кластерами и контроллером GIC‑400 показана на рисунке 2. Память

МК S32V234 имеет два независимых интерфейса DRAM0 и DRAM1 с подд е р ж ко й в н е ш н е й п а м я т и D R A M . В DRAM0 может использоваться код с исправлением ошибки ЕСС. Поддерживается следующая память. –– LPDDR2: 2×16/32 бит с частотой тактирования 533 МГц и скоростью передачи данных 1066 МТ/с. Адресное пространство: 2×1 Гбайт. –– DDR3 и DDR3L: 2×16/32 бит с частотой тактирования 533 МГц и скоростью передачи данных 1066 МТ/с. Адресное пространство: 2×1 Гбайт. В этой памяти хранится основной программный код для процессоров ARM Cortex-A53, а также полноразмерные видеокадры и изображения. В системном многопортовом ОЗУ используется код ЕСС. Поскольку у него более высокая по сравнению с DRAM полоса пропускания и меньше задержке, в нем хранятся изображения небольшого размера, строки и другие данные, доступ к которым необходимо обеспечить за кратчайшее время. Эта память состоит

электронные компоненты  №9 2018

Микроконтроллеры и микропроцессоры

Процессорный кластер

П р о ц е ссо р н ы й к л а с т е р со с т о ит из двух 32‑разрядных ядер ARM Cortex-A53, в состав которых входит векторный процессор NEON, модуль обработки данных с плавающей запятой (FPU), а также кэш-память данных D‑Cache объемом 32 Кбайт и кэш-память команд I–Cache такого же объема. В процессорный кластер встроено ОЗУ уровня L2 емкостью 256 Кбайт и аппаратный блок управления SCU, через который реализуется обмен данных с остальными модулями МК. Ширина шины

85


Микроконтроллеры и микропроцессоры

86

из трех сегментов. Сегмент 0 содержит 12 банков по 256 Кбайт, т. е. 3 Мбайт. В состав сегментов 1 и 2 входят по 8 банков; емкость каждого из них составляет 64 Кбайт. Таким образом, объем каждого из сегментов 1 и 2 достигает 0,5 Мбайт, а общий объем памяти равен 4 Мбайт. Память данных и память команд, связанные с ARM Cortex-M4, имеют объем по 32 Кбайт каждая. Они обеспечивают минимальную задержку по сравнению с системным многопортовым ОЗУ и DRAM. В эту память можно записывать подпрограммы, критичные к задержке выполнения, а также стеки. Доступ к энергонезависимой флэш-памяти NOR осуществляется через интерфейс QuadSPI. При выполнении программы возможно чтение команд из этой памяти, но это нецелесообразно, т. к. пропускная способность памяти невелика. Интерфейс цифрового хост-контроллера с защищенным доступом SDHC позволяет использовать SD-карты и флэшпамять NAND. В ПЗУ Boot ROM содержится загрузчик; операция загрузки выполняется процессором ARM Cortex-M4. В памяти ядра KRAM хранятся дешифрованные подпрограммы для скалярного процессора обработки изображений IPUS и векторного процессора обработки изображений IPUV. При работе в шифрованном режиме доступ к памяти KRAM имеет только криптографический модуль CSE. Он осуществляет загрузку и дешифрование подпрограмм из энергонезависимой памяти или DRAM. В модуле управления потоком данных для видеосистемы VSEC находится ОЗУ кода и данных CRAM. Эта память доступна и для хост-процессора, и для процессора ARM Cortex-M0+, входящего в состав модуля VSEC. Данные в память CRAM загружает хост-процессор при инициализации модуля VSEC. И, наконец, через память платформы PRAM происходит обмен сообщениями между ARM Cortex-M0+ хостпроцессором. Тактирование и сброс

Источниками частоты тактирования служат пять модулей PLL, к входам которых подключается либо встроенный 48‑МГц осциллятор FIRC, либо внешний 40‑МГц осциллятор FXOSC. Верхний уровень распределения частоты показан на рисунке 3. В PLL встроены два целочисленных делителя частоты с выходами PHI0, PHI1 и цифровые дробные делители частоты DFS с четырьмя выходами. При загрузке источником частоты служит RC-осциллятор. Критически важные тактовые частоты контролируются с помощью монитора тактовой частоты (CMU). Детектируются пропуски цикла и некорректное значение частоты. В качестве опорной частоты для модуля CMU используются 12 тактовых последовательностей, причем в их формировании участвует только встроенный 48‑МГц осциллятор FIRC. Всего же в МК S32V234 применяется несколько десятков тактовых последовательностей. Сброс МК может быть общим или локальным. В первом случае сбрасываются все модули МК, во втором – только некоторые модули. Осуществляет управление процессом сброса контроллер системного сброса SRC. Системы обработки видеосигналов и графики

В этом разделе мы кратко опишем модули и функциональные возможности подсистем видео и графики. Описание начнем с камеры, входящей в подсистему помощи водителю (ADAS). Структурная схема подсистемы ADAS приведена на рисунке 4. Камеры подк лючаются через последовательные интерфейсы CSI и VIU. Распределением видеоинформации ведает модуль управления потоком данных для видеосистемы VSEC. Этот модуль подсчитывает число принятых строк и, если накопилось достаточно

www.elcomdesign.ru

Рис. 3. Верхний уровень распределения частот тактирования

данных для обработки сигнала, запускает модули скалярный процессор обработки изображений (IPUS) и векторной обработки изображений (IPUV). Блок VSEQ так же инициирует быс трый прямой дост уп FastDMA для передачи изображения из SRAM в DDR. Модуль VSEQ играет очень важную роль – он реализует в мельчайших подробностях временную диаграмму обработки видеосигналов, при которой требуется осуществить последовательное согласованное взаимодействие нескольких обработчиков в строго заданной последовательности. Процесс обработки, которым управляет модуль VSEQ, состоит из следующих этапов: -- прием входных данных видеокамер и видеодекодеров; -- многошаговая обработка видеоданных в процессе обработки изображений ISP; -- обмен данными между SRAM в DDR; -- видеокодирование; -- хост-процессор и eDMA. При конвейерной обработке в ОЗУ сохраняется ограниченный набор строк изображений. Как только его обработка закончена, начинается обработка следующего блока данных. Таким образом, удается уменьшить задержку, поскольку обработка видеоданных начинается с первых строк данных, не дожидаясь получения всего кадра. По этой же причине уменьшается требуемый объем буфера, т. к. при построчной обработке не требуется хранить весь кадр. Одним из главных элементов видеосистемы является модуль обработки 3D-изображения с выделением требу-


емых признаков APEX–CL. В состав МК S32V234 входят два идентичных модуля APEX–CL, каждый из которых представляет собой автономный процессорный блок с высокой производительностью. В модуле APEX–CL используется матричная обработка данных. Эта обработка осуществляется с помощью 64‑SIMD 16‑бит вычислительных модулей CU; модуль можно сконфигурировать как два независимых 32‑SIMD 16‑бит вычислительных ядра. Модуль реализует преобразование Фурье, умножение 16‑бит чисел, АЛУ, а также может применяться как многоразрядный сдвиговый регистр. Увеличить пропускную способность памяти позволяет высокоскоростное матричное соединение для общего использования памяти HPSM. Модуль HPSM работает в двух режимах. В режиме чередования HPSM–LSB доступ к восьми банкам памяти получает, в основном, видеосистема. В режиме нормального доступа HPSM–MSB предоставляет доступ ведущим устройствам шинной матрицы AXI. В этом режиме модуль HPSM–MSB поддерживает 12 независимых банков памяти с шириной шины 128 бит, связывающей банки AXI, которые построены по NIC‑301 (фирменное сетевое соединение CoreLink). МК S32V234 поддерживает кодирование и декодирование видеоформата Н264, а также декодирование изображений в формате JPEG. Декодер JPEG поддерживает и полезную видеонагрузку, отвечающую требованиям стандарта JPEG. Максимальная производительность модуля декодера составляет четыре изображения размерностью 1280×900 пикс. при 30 кадрах/с в формате YCbCr420 (184 Мпикс./с). МК содержит два модуля видеоинтерфейса VIULite – VIU0 и VIU1. Этот интерфейс является мостом меж ду внешним датчиком изображений и системной памятью. Интерфейс VIU0 поддерживает 16‑бит пикселы, а интерфейс VIU1– 20‑бит пикселы. Максимальная размерность изображения достигает 1280×800 пикс.

В состав процессора обработки изображений ISP входит 8‑скалярный процессор обработки изображений IPUS и четыре векторных процессора обработки изображений (IPUV). Все модули используются для обработки исходных, «сырых» видео­сигналов, анализа изображений и кодирования видеосигналов. Обмен данными между системным ОЗУ и процессором ISP осуществляется с помощью специального потокового DMA (StreamDMA). Модули IPUS, IPUV и StreamDMA управляются упомянутым выше блоком VSEQ. После обработки строки модуль ISP посылает сообщение блоку VSEQ. В зависимости от того, какой модуль является последним в потоке данных (IPUS или StreamDMA), блок VSEQ переадресует сигнал для дальнейшей обработки. Принцип обработки видеосигналов в модуле ISP основан на построчной обработке и состоит из трех уровней. 1. Пиксельная обработка. На этом уровне обрабатывается единичный пиксел или вектор пикселов. 2. Уровень адресации. На этом уровне алгоритм пиксельной обработки образует цикл, и происходит обработка строки или фрагмента. При этом обмен данных системным ОЗУ осуществляется с помощью быстрого потокового DMA (StreamDMA). Уровни 1 и 2 выполняют функцию обработки изображений. 3. Уровень алгоритма. Это высший уровень, на котором происходит обработка изображения для приложений. Структурная схема модуля ISP показана на рисунке 5. На этом же рисунке показана связь модуля ISP с системным ОЗУ и блоком VSEQ. Контроллер дисплея 2D-ACE является системным ведущим устройством. Он в реальном времени реализует динамическое воспроизведение и наложение, композицию изображений с минимальным вмешательством в процесс со стороны ЦП. Контроллер 2D-ACE преобразует данные, хранящиеся в памяти DDR, для вывода на цветной ЖК-дисплей. Контроллер поддерживает

электронные компоненты  №9 2018

Микроконтроллеры и микропроцессоры

Рис. 4. Структурная схема подсистемы ADAS

87


Микроконтроллеры и микропроцессоры

88

Рис. 5. Структурная схема модуля ISP

широкий диапазон ЖК-дисплеев разных размерностей и интерфейсов. Графика кодируется в разных форматах. Модуль обработки 3D-графики GC3000 является аппаратным ускорителем для 3D-дисплеев. Он реализует визуализацию вплоть до 8K×8K. Модуль GC3000 поддерживает следующие графические интерфейсы API: –– OpenGL ES 3.1; –– OpenGL ES 3.0/2.0/1.1; –– EGL 1.4; –– DirectX 11 (9_3)1; –– OpenGL 3.x/2.x1; –– OpenVG 1.1; –– OpenCL 1.2. Таймеры, интерфейсы и аналоговые модули

Поскольку эти модули играют вспомогательную роль для обработки видеосигналов и графики, мы не станем во всех подробностях рассматривать их, но кратко перечислим с упоминанием основных особенностей. В состав МК входят два модуля 6‑канальных таймеров FlexTimer FTM, базирующихся на 16‑разрядных счетчиках. Они реализуют функцию захвата–сравнения и могут генерировать сигналы ШИМ для управления простым электроприводом. Два таймера формирования прерываний с заданным периодом PIT

www.elcomdesign.ru

формируют сигналы прерываний и запуска модулей МК. Сторожевой таймер выполняет ту же функцию, что и в других МК, – предотвращает зависание и программные сбои. И, наконец, модуль системного 32‑разрядного таймера STM используется для формирования сигналов синхронизации. Номенклатура аналоговых модулей в МК невелика – тракт измерения температуры в диапазоне –40…125°C с погрешностью ±6°C и 12‑разрядный АЦП последовательного приближения. Основные параметры АЦП: -- частота преобразования (макс.): 40 МГц; -- частота выборки (макс.): 0,5 МГц; -- время выборки: 500 нс; -- время преобразования: 1400 нс; -- интегральная нелинейность: ±3 МЗР; -- дифференциальная нелинейность: ±2 МЗР. И в заключение перечислим коммуникационные интерфейсы МК S32V234: –– UART (w/LIN2.11); –– SPI; –– I2C; –– PCI express 2.0; –– 1 GBit Ethernet with PTP IEEE 1588; –– FD-CAN; –– 2‑канальный FlexRay.


РЕКЛАМА


Фильтр электромагнитной совместимости для оптимизации импульсных DC/DC-контроллеров Штефан Кляйн (Stefan Klein), Würth Elektronik eiSos

Обеспечить высокую эффективность современных импульсных источников питания можно, уменьшив потери их мощности, а также выполнив требования к плате и схеме, чтобы избежать появления напряжения радиопомех. В статье рассматривается последовательная реализация входных фильтров, позволяющих уменьшить симметричное напряжение помех в импульсных DC/DC-контроллерах.

Пассивные компоненты

Необходимость во входном фильтре

90

Импульсный ис точник питания любого типа создает излучение в широкой полосе частот в виде напряжения помехи и поле помех, которые затрудняют работ у других элек тронных устройств. Главной причиной возникновения напряжения помехи заключается во входном токе, который протекает через входной конденсатор импульсного контроллера. Частота пульсации этого тока равна тактовой частоте контроллера. В результате возникает падение напряжения VПУЛЬС на эквивалентном последовательном сопротивлении (ESR).

Рис. 1. Структурная схема испытательной установки

Измерение напряжения помехи

Входной фильтр снижает амплитуду напряжения помехи, подавляет гармоники и играет важную роль в уменьшении напряжения радиопомех до приемлемого уровня. Например, общий стандарт EN61000–6-4 устанавливает предельное фактическое пиковое значение 79 дБмкВ на частоте 150 кГц. На современном рынке пассивных компонентов предлагается широкий ряд «готовых фильтров» с высокой вносимой потерей, например величиной 70–100 дБ. Однако эти значения редко достигаются на практике из-за того, что характеристики указанных фильтров измерялись в 50‑Ом системе и импедансы источников питания отклоняются от заявленных значений. В таких случаях рекомендуется самостоятельно рассчитать фильтр. Прежде всего, для проектирования входного фильтра следует определить

www.elcomdesign.ru

Рис. 2. Напряжение помехи в отсутствие входного фильтра


тип помехи. Необходимо отличать шум в дифференциальном режиме от синфазного шума. Фильтр устанавливается на входе импульсного контроллера для подавления дифференциального шума. Уже на этапе разработки измерение напряжения помехи можно осуществлять с помощью схемы стабилизации импеданса линии (LISN) и анализатора спектра. На рисунке 1 показана упрощенная структурная схема испытательной установки для такого измерения. С ее помощью измеряются дифференциальные шумы, поскольку опорным потенциалом является земля переключающего силового каскада источника питания, а не опорное заземление. Схема LISN осуществляет развязку напряжения сетевых помех от источника питания. Таким образом, все измеренные помехи создаются именно источником питания. Собственный фильтр нижних частот схемы LISN предотвращает перебои в работе других электронных устройств, которые питаются от источника питания общего пользования. На рисунке 2 показаны результаты измерения напряжения помехи в единицах дБмкВ понижающего DC/DC-контроллера, который работает на частоте 2 МГц. Входное напряжение составляет 10 В, а эффективная величина входного тока – 0,7 А. Величина напряжения помехи V ШУМ определяется следующим образом:

На рисунке 2 отчетливо видна амплитуда первой гармоники, соответствующей коммутационной частоте. Другие гармоники с частотой до 30 МГц спадают по амплитуде, но остаются выше предельного значения. При значении напряжения 116 дБмкВ у основной гармоники – наибольшая амплитуда. Исходя из этого, V ПУЛЬС можно определить следующим образом: , мкВ. Следовательно, V ПУЛЬС = 631 мВ. Это значит, что необходимо использовать входной фильтр. Влияние управляющего контура

Давайте рассмотрим входной фильтр, подобранный для упомянутого выше импульсного контроллера. Фильтр низкой частоты состоит из катушки (WE-PD2, неэкранированная, L = 1 мкГн, SRF = 110 МГц, R DC = 49 мОм) и конденсатора (серия FK, электролити-

Рис. 4. Результаты использования фильтра на входе контроллера

ческий, C = 10 мкФ, V = 35 В DC). Схема этого фильтра, установленного перед входным конденсатором контроллера импульсного DC/DC-преобразователя, показана на рисунке 3. Преж де всего, с ледует выбрать катушку фильтра с высокой собственной резонансной частотой (SRF), поскольку катушка, как правило, теряет свою фильтрующую способность при частотах выше резонансных из-за паразитной емкости. Во избежание насыщения сердечника катушки фильтра ее допустимый ток насыщения должен, по крайней мере, на 10% превышать пиковое значение входного тока. Рекомендуется, чтобы сопротивление резистора RDC было как можно меньше, чтобы минимизировать падение напряжения по постоянному току. Значение индуктивности выбирается таким образом, чтобы частота среза фильтра составила 1/10 от частоты импульсного контроллера, т. е. намного отличалась от таковой частоты контроллера, чтобы ослаблялась большая часть первой и остальных гармоник. Поскольку при такой частоте среза на АЧХ фильтра возникает резонансный пик, превышающий допустимое значение, требуется обеспечить затухание фильтра.

Меж ду значениями частот среза фильтра и импульсного контроллера должна быть достаточно большая разница, чтобы обеспечить устойчивую работу контура контроллера. В случае совпадения этих частот возникают колебания на входе контроллера, и он утрачивает способность быстро реагировать на изменения выходного напряжения, что обусловлено наличием отрицательного входного сопротивления контроллера. Теоретически, для этого устройства должно выполняться равенство между поступающей и выходной мощностью. Это значит, что при постоянных начальных условиях, в которых находится контроллер, входной ток I ВХ уменьшается с увеличением входного напряжения VВХ. Этот эффект объясняется наличием отрицательного входного сопротивления ZВХ, что приближенно выражается следующим соотношением из анализа больших сигналов: . Благодаря компонентам импульсного контроллера, величина которых зависит от частоты, входное сопротивление

электронные компоненты  №9 2018

Пассивные компоненты

, дБмкВ.

Рис. 3. Схема входного фильтра

91


динамично меняется, и потому требуется анализ малых сигналов. На практике рекомендуется, чтобы импеданс входного фильтра ZФИЛЬТР был намного меньше входного импеданса контроллера ZВХ: ZФИЛЬТР << ZВХ. Поскольку, как правило, катушка фильтра не излучает электромагнитное поле, мы выбрали неэкранированную модель WE-PD2. При выборе емкости фильтра следует убедиться, что максимальная допустимая величина рабочего напряжения на емкости фильтра примерно на 25% больше напряжения питания, поскольку номинальное напряжение у всех конденсаторов по тем или иным причинам уменьшается. С увеличением напряжения величина емкости и, с ледовательно, эффек тивнос ть фильтра, уменьшается в зависимости от диэлектрика. Чтобы собственная резонансная частота была большой, рекомендуется выбирать малые значения эквивалентной последовательной индуктивности (ESL). В качестве исключения в рассматриваемом случае можно задействовать высокое значение ESR, выбор которого намеренно

уменьшает добротность Q фильтра и ослабляет резонансный пик фильтра низких частот. Рекомендуется выбрать относительно большую емкос ть фильтра и м а л у ю и н д у к т ив н о с т ь, т. к . пр и большей индуктивности уменьшается собственная резонансная частота. Для фильтра следует выбрать электролитический конденсатор. Во избежание рассогласования импедансов т р е буе тс я пр ави льн о р аз м е с т и т ь элементы фильтра. Поскольку из-за входного конденсатора входной импеданс импульсного контроллера становится меньше импеданса источника питания, катушка фильтра устанавливается между источником и входным конденсатором контроллера. Конденсатор фильтра устанавливается за кат ушкой индук тивности параллельно источнику питания. Катушка фильтра препятствует протеканию тока пульсации, а конденсатор фильтра шунтирует напряжение поме хи на землю. На рисунке 4 показан результат измерения напряжения помехи при использовании дополнительного входного фильтра.

Использование катушки WE-PD2 и конденсатора фильтра дало отличный результат даже при сравнительно малых значениях индуктивности (1 мкГн) и емкости величиной 10 мкФ. Амплитуда основной гармоники стала меньше на 30 дБ. Амплитуды гармоник более высоких порядков не видны из-за шума окружающей среды. Величину индуктивности катушки WE-PD2 можно увеличить, чтобы в еще большей мере подавить гармоники во всем диапазоне частот. Дальнейшая регулировка фильтра позволяет повысить вносимую потерю более чем на 40 дБ. Выводы

Входной фильтр незаменим. Его наличие следует учитывать уже на стадии проектирования. Помехи в дифференциальном режиме подавляются на входе и м п уль сного D C / D C- контр ол лер а с помощью LC-фильтра, который уменьшает напряжение помехи до приемлемого уровня. Если входной фильтр корректно рассчитан и его пассивные элементы правильно рассчитаны, можно добиться наибольшей вносимой потери, сохранив устойчивой работу импульсного контроллера.

НОВОСТИ ТЕХНОЛОГИЙ

Пассивные компоненты

| Разработчики всем миром создали ПК на «Байкалах» с российским ПО |

92

«Т‑платформы» объединились с «Новыми облачными технологиями», «Русбитехом», «Инфотексом», «Криптопро» и «Фениксом», чтобы выпустить защищенное автоматизированное рабочее место (АРМ) на процессорах «Байкал». Группа известных российских разработчиков ПО и вычислительной техники выпустила АРМ для обеспечения информационной безопасности высокого уровня. Представленное решение предназначено для использования и в госструктурах, и в коммерческих организациях, предъявляющих высокие требования к уровню цифровой защиты. Партнерство объединило «Т‑платформы», «Новые облачные технологии» – создателей пакета «Мой офис», авторов Astra Linux – «Русбитех», специализирующиеся на безопасности компании «Инфотекс» и «Криптопро», а также разработчика специализированных информационных систем «Феникс». Предполагается, что исходный состав группы будет постоянно расширяться за счет новых участников, чьи программные продукты дополнят имеющуюся комплектацию рабочего места. В ближайшее время таким продуктом может стать браузер «Спутник». Максимальная стоимость АРМа не превысит 79 тыс. руб., обещают его создатели. Аппаратная часть решения представляет собой терминальное устройство «Т‑платформ» – «Таволга терминал 2BT1» в форм-факторе Mini ITX. Оно создано на базе отечественного процессора «Байкал-Т1», разработанного дочерней компанией «Т‑платформ» – «Байкал электроникс». «Таволга» позиционируется как устройство, которое обеспечивает безопасность высокого уровня, отличается простотой установки, подключения и позволяет формировать решения для оснащения рабочих мест, предоставляя широкие возможности для работы с периферийными устройствами. В состав комплекта также входит монитор, клавиатура и мышь. В пакет предустановленного программного обеспечения АРМ включена продукция упомянутых российских разработчиков. Среди них – защищенная ОС Astra Linux Special Edition. В «Т‑платформах» ее описывают как единственную операционную систему, которая прошла сертификацию по безопасности ФСТЭК, Министерства обороны и ФСБ, что является подтверждением возможности использования ОС для информации ограниченного доступа. На компьютер установлен пакет «Мой офис стандартный». В его состав входит набор программ для работы с текстовыми документами и электронными таблицами, демонстрации презентаций. «Мой офис» сертифицирован ФСТЭК, входит в реестр отечественного ПО при Минкомсвязи. Вклад «Инфотекса» составляет программный продукт ViPNet Client for Linux, который обеспечивает криптографическую защиту информации при ее передаче по каналам связи, в т. ч. публичным, а также защиту АРМ от внешних и внутренних сетевых атак за счет фильтрации трафика. Криптографическая защита обеспечивается решением «Криптопровайдер криптопро CSP». АРМ может работать по защищенным каналам со специализированными решениями компании «Феникс» для автоматизированных информационных систем (АИС) государственных услуг, в частности для офисов «Мои документы» (МФЦ). www.russianelectronics.ru

www.elcomdesign.ru


Новинки месяца.

Редакционный обзор

Предлагаем читателям обзор новинок за прошедший месяц с момента выхода в свет журнала «Электронные компоненты» № 8, 2018 г. В новый обзор вошли наиболее интересные, на наш взгляд, изделия. Заметим, что рассматривается продукция только тех компаний, которые широко представлены на российском рынке. При перечислении параметров новинок указаны их типовые значения.

Аналоговые компоненты

Rohm. Прецизионный малошумящий операционный КМОП-усилитель LMR 1802G-LB. Частотные характеристики усилителя показаны на рисунке 1. В компании утверждают, что усилитель по шумовым параметрам заметно пре-

восходит аналоги. Основные параметры LMR 1802G-LB: -- напряжение питания: 2,5–5,5 В; -- ток потребления в режиме останова: 1,1 мкА; -- напряжение смещения: 5 мкВ; -- входной ток смещения: 0,5 пА;

Рис. 2. Частотные характеристики усилителя OPAx189

TI. Прецизионный малошумящий операционный усилитель OPAx189 предназначен для работы с мультиплексором. На входе усилителя отсутствуют защитные антипараллельные диоды. Частотные характеристики усилителя показаны на рисунке 2. Основные параметры OPAx189: -- напряжение питания: 4,5–36 В; -- ток потребления: 1,1 мкА; -- напряжение смещения: ±0,4 мкВ; -- входной ток смещения: ±140 пА; -- шум в полосе 0,1–10 Гц: 0,1 мкВ (пик–пик); -- п л о т н о с т ь ш у м а п р и 1 к Гц : 5,2 нВ/√Гц; -- КОСС: 168 дБ; -- полоса единичного усиления: 14 МГц; -- скорость нарастания: 20 В/мкс; -- время установления выходного сигнала до 0,01% при 10‑В ступеньке: 1,1 мкс; -- диапазон рабочей температуры: –40…125°C; -- корпус: SOIC8, VSSOP, DBV. Еще одна новинка от этой компании – усилитель THS 3491 с токовой обратной связью. На рисунке 3 показана зависимость суммарных искажений от частоты. Основные параметры THS 3491: -- напряжение питания: 14–32 В; -- ток потребления: 16,8 мА (подстраивается на фабрике); -- выходной ток (макс.): ±420 мА; -- полоса частот: 900 МГц; -- скорость нарастания: 8000 В/мкс; -- входная плотность шума: 1,7 нВ/√Гц; -- искажения HD2 и HD3, менее: 75 дБ;

электронные компоненты  №9 2018

С п ра в о ч н ы е с т ра н и ц ы

Рис. 1. Частотные характеристики усилителя LMR 1802G-LB

-- п л о т н о с т ь ш у м а п р и 10 Гц : 7,8 нВ/√Гц; -- п л о т н о с т ь ш у м а п р и 1 к Гц : 2,9 нВ/√Гц; -- КОСС: 105 дБ; -- ослабление нестабильности напряжения питания: 125 дБ; -- скорость нарастания: 1,1 В/мс; -- диапазон рабочей температуры: –40…125°C; -- корпус: SSOP5 (2,9×2,8×1,25 мм).

93


-- диапазон рабочей температуры: –40…125°C; -- корпус: VQFN16, HSOIC8. Генераторы, таймеры и синтезаторы сигналов

SiLabs. Семейство 6-, 8‑ и 12‑выходны х генер атор ов час тот ы Si5332 . На рисунке 4 показан оптимальный способ согласования выходного LVDSкаскада генератора и входного каскада приемника. Основные параметры Si5332: -- входные частоты: -- внешний кристалл: 16–50 МГц; -- д и ф ф ер е нц иа льный сиг на л: 10–250 МГц; -- LVSMOS-сигнал: 10–170 МГц; -- выходная частота дифференциального сигнала: 5–333,33 МГц; -- выходная частота сигнала LVSMOS: 5–170 МГц; -- конфигурирование выходного сигнала: LVDS, LVPECL, HCSL, LVCMOS; -- совместимость с уровнями: 1,8; 2,5; 3,3 В; -- джиттер при использовании встроенного кристалла: 175 фс (СКЗ); -- диапазон рабочей температуры: –40…85°C; -- корпус: QFN32, QFN40 или QFN48.

Рис. 3. Зависимость суммарных искажений усилителя THS 3491 от частоты

Рис. 4. Оптимальный способ согласования выходного LVDS-каскада генератора Si5332 и входного каскада приемника

С п ра в о ч н ы е с т ра н и ц ы

Источники, модули питания и преобразователи

94

Allegro. DC/DC-преобразователь ARG81801. Схема включения преобразователя показана на рисунке 5. Основные параметры ARG81801: -- входное напряжение: 3,6–40; -- выходное напряжение: регулируется, встроенный 800‑мВ ИОН; -- погрешность выходного напряжения: ±1,0%; -- максимальный выходной ток: 3 А; -- рабочая частота: 250–2400 кГц; -- м и н и м а л ь н о е в р е м я о т к р ы тия/закрытия встроенного силового ключа: 95 нс; -- сопротивление открытого канала встроенного силового ключа: 110 мОм; -- диапазон рабочей температуры: –40…150°C; -- корпус: QFN24. M o r n s u n . Из о л и р о в ан н ы й D C / DC-преобразо­ватель серии VRB_S‑10WR3 (см. рис. 6). Основные параметры VRB_S‑10WR3: -- входное напряжение: 9–18 В (шина 12 В) или 18–36 В (шина 24 В) в зависимости от модификации; -- выходное напряжение: 3,3; 5; 9; 12; 15; 24 В в зависимости от модификации; -- выходная мощность: 10 Вт; -- погрешность выходного напряжения: ±1,5%;

www.elcomdesign.ru

Рис. 5. Схема включения преобразователя ARG81801

-- диапазон рабочей температуры: –40…85°C; -- размер: 22,00×9,5×12,00 мм.

Рис. 6. Изолированный DC/DC-преобразователь серии VRB_S-10WR3

-- пульсации выходного напряжения: 60 или 75 мВ в зависимости от модификации; -- линейная регулировочная характеристика: ±0,25%; -- нагру зочная рег улировочная характеристика: ±0,5%; -- КПД: до 83%; -- электрическая прочность изоляции: 1500 В;

Murata. Понижающий изолиро в а н н ы й D C / D C- п р е о б р а з о в а т е л ь DRQ‑11.4/88‑L48NB-C (см. рис. 7). Основные параметры преобразователя: -- входное напряжение: 36–60 В (48‑В шина); -- выходное напряжение: 11,2 В; -- пульсации выходного напряжения: 150 мВ (пик–пик); -- выходной ток (макс.): 88 А; -- КПД: до 96,3%; -- электрическая прочность изоляции: 1500 В; -- диапазон рабочей температуры: –40…85°C; -- размер: 58,42×36,83×14,5 мм. TI.  Понижающий DC /DC- модуль LMZM23601 с встроенным индуктором, входным и выходным конденсаторами.


Рис. 10. Отличие моточных бусин Coilcraft от традиционных Рис. 7. Понижающий изолированный DC/DC-преобразователь DRQ-11.4/88-L48NB-C

Таблица. Основные параметры семейства ферритовых бусин Coilcraft Серия

Рис. 8. Плата модуля LMZM23601

TRACO POWER. Источники питания для медицинской техники серии ТРР450 (см. рис. 9). Основные параметры ТРР450: -- выходная мощность: 450 Вт; -- выходное напряжение: 12; 15; 24; 36; 48 В в зависимости от модификации; -- погрешность поддержания выходного напряжения: ±2%; -- пульсации выходного напряжения: 250–480 мВ (пик–пик) в зависимости от модификации;

-- электрическая прочность изоляции: 4000 В (АС); -- ток утечки: менее 100 мкА; -- соответствие стандартам IEC/EN/ES 60601–1 3rd: 2×МОРР; -- гарантия: 5 лет; -- диапазон рабочей температуры: –40…80°C; -- размер: 148,2×120,9×40,6 мм. Coilcraf t . Семейс тво моточных ферритовых бусин. Отличие моточных бусин от традиционных показано на рисунке 10. Основные параметры семейства приведены в таблице.

Диапазон импедансов, Ом

0201AF

0201 (0603)

0,18

19,89–118,6 @ 100 МГц; 159,9–1,089 @ 900 МГц

026011F

0201 (0603)

0,25

91,52–320,5 @ 100 МГц; 747,2–3,435 @ 900 МГц

0402DF

0402 (1005)

0,66

11,98–5,270 @ 100 МГц; 93,76–7,628 @ 900 МГц

0402AF

0402 (1005)

0,66

11,23–331,3 @ 100 МГц; 82,23–3,593 @ 900 МГц

0603AF

0603 (1608)

0,91

8,83–3,807,7 @ 100 МГц; 48,14–6,332 @ 900 МГц

0603LS

0603 (1608)

1,12

28,21–5,760 @ 100 МГц; 27,89–3,815 @ 900 МГц

0805AF

0805 (2012)

1,52

65,61–7,069 @ 100 МГц; 26,14–2,451 @ 900 МГц

0805LS

0805 (2012)

1,60

48,54–7,765 @ 100 МГц; 28,82–2,265 @ 900 МГц

1008AF

1008 (2520)

1,91

6,09–66,51 @ 1 МГц; 59,29–721,8 @ 10 МГц

1008LS

1008 (2520)

2,03

6,56–682,9 @ 1 МГц; 63,95–7,511 @ 10 МГц

1812LS

1812 (4532)

3,43

77,85–7,167 @ 1 МГц; 770,7–191,219 @ 10 МГц

С п ра в о ч н ы е с т ра н и ц ы

На рисунке 8 показана плата, на которой расположены компоненты модуля. Основные параметры LMZM23601: -- в х о д н о е н а п р я ж е н и е ( р е г. ) : 4–36 В (48‑В шина); -- выходное напряжение: 2,5–15 В; -- выходной ток (макс.): 1 А; -- рабочая частота: 1 МГц; -- встроенный индуктор: 10 мкГн; -- D CR вс тр о енного ин д у к тора: 390 мОм; -- время открытия верхнего ключа (мин.): 50 нс; -- время выключения верхнего ключа (макс.): 62 нс; -- КПД (макс.): 91–97%; -- ток потребления в режиме останова: 2 мкА; -- диапазон рабочей температуры перехода: –40…125°C; -- корпус: MicroSiP (3,00×3,00×1,60 мм).

Рис. 9. Источники питания для медицинской техники серии ТРР450

Высота Размер (макс.), мм

95

Рис. 11. Зависимость индуктивности и температуры дросселя IHSR-4040DZ-51 от постоянного тока

электронные компоненты  №9 2018


V i s hay. Вы со ко те м п ер ат у р ный дроссель серии IHSR‑4040DZ‑51. Зависимость индуктивности и температуры дросселя от постоянного тока показана на рисунке 11. Основные параметры IHSR‑4040DZ‑51: -- индуктивность: 0,13 мкГн; -- о т к л о н е н и е и н д у к т и в н о с т и от номинального значения: ±20%; -- DCR: 0,52 мОм; -- ток , ограниченный нагр евом (макс.): 72 А; -- ток при уменьшении индуктивности на 20% (макс.): 63 А; -- ток при уменьшении индуктивности на 30% (макс.): 92 А; -- собственная резонансная частота: 151 мГц; -- диапазон рабочей температуры: –55…155°C; -- размер: 11,3×10,3×10,3 мм.

С п ра в о ч н ы е с т ра н и ц ы

Светотехника

96

Analog Devices. Светодиодный драйвер LT3922–1 с глубиной диммирования 25000:1. Схема включения драйвера приведена на рисунке 12. Основные параметры LT3922–1: -- входное напряжение: 2,8–36 В; -- глубина димминга внутреннего ШИМ 128:1; -- выходной ток 330 мА; - - распределенный спектр, в пред е л а х 10 0 –12 5 % и з м е н е н и я частоты; -- до 34 светодиодов в последовательной цепочке; -- рабочая частота 200–2000 кГц; -- диапазон рабочей температуры: –40…85°C; -- корпус: QFN28 (4×5 мм).

Рис. 12. Схема включения драйвера LT3922-1

СВЧ

Q o r v o . S i C H E M T- т р а н з и с т о р QPD0030. Зависимость медианного время жизни транзисторов от температуры канала показана на рисунке 13. Основные параметры QPD0030: -- рабочее напряжение: 48 В; -- выходная мощность: 45 Вт; -- ток стока: 2 А; -- диапазон частот: 0–4 ГГц; -- коэффициент усиления: 22,3 дБ (частота 2,2 ГГц, полная нагрузка); -- РАЕ3 дБ: 71,5% (частота 2,2 ГГц, полная нагрузка); -- температура канала (макс.): 250°C; -- диапазон рабочей температуры: –40…85°C; -- корпус: 7×7 мм. Skyworks. Малошумящий усилитель SKY65933–11. В усилитель встроены фильтры входного и выходного сигнала. Структурная схема усилителя показана на рисунке 14. Основные параметры SKY65933–11:

www.elcomdesign.ru

Рис. 13. Зависимость медианного времени жизни транзисторов Qorvo от температуры

Рис. 14. Структурная схема усилителя SKY65933-11

-- напряжение питания: 1,5–2,85 дБ; -- ток потребления: 2,9 мА; -- оптимизирован для работы в полосе частот 1559–1606 МГц; -- коэффициент усиления малого сигнала: 14,5 дБ;

-- IP1 дБ: –13 дБм -- коэффициент шума: 1,9 дБ; -- диапазон рабочей температуры: –40…85°C; -- корпус: МСМ (2,5×2,5 мм).


РЕКЛАМА


РЕКЛАМА


Turn static files into dynamic content formats.

Create a flipbook
Issuu converts static files into: digital portfolios, online yearbooks, online catalogs, digital photo albums and more. Sign up and create your flipbook.