Wireless Communication extracts from LAYERS 2016

Page 1

EDITION 2

WIRELESS COMMUNICATION New materials and manufacturing approaches for Wireless Communication EXTRACTS FROM LAYERS 2016


LAYERS2016


WIRELESS COMMUNICATION

03

Wireless Communication Encompassing the fields of Mobility , Connectivity and Energy Efficiency, Wireless Communication is well on its way to become the future mainstream at the heart of all that we do. HBT devices on GaAs have gained market share over many years but today , the wireless industry with GaN technology and the RF-filters (SAW & BAW) is the strongest growing semiconductor segment by far.

Dr. Reinhard Benz, Head of Strategic Sales and Product Marketing

Evatec plays a major role with evaporation and sputtering in the metallization of III-V devices with advanced lift-off capabilities or co-deposited alloys typically used as heat sink attachments or solder type materials. The biggest success are the RF-filter : SAW, TC-SAW and BAW type filters. The piezoelectric AlN layers deposited in CLUSTERLINE® show excellent film properties including the crystallinity, uniformity and the stress control required for the 8“ production solutions used in FBAR and SMR type wave filters. For SAW technology Evatec’s RADIANCE platform delivers the HD SiO2 processes for temperature compensated devices where the device performance and the stability of the sound velocity depends not only on demanding uniformity, stress control requirements, but just as importantly on the planarization and gap filling.


LAYERS2016

With more than 250 employees focused on applied solid state physics, Fraunhofer IAF is equipped to work at all levels from basic materials research to delivering a fully functioning end device. Dr. Agnė Žukauskaitė and Dr. Vadim Lebedev of Fraunhofer Institute for Applied Solid State Physics IAF give us an insight into their work developing novel technologies in RF- MEMS devices.


COMPETENCES IN WIRELESS COMMUNICATION

05

Tomorrow’s mobile telecommunications using AlScN


LAYERS2016

HIGH FREQUENCY FILTERS BEYOND AlN-BASED BAW DESIGNS Wireless communication is ubiquitous today and will enjoy even more growth in the coming years. The ability to share large quantities of information has lead to an increasing number of standards, for example 3G, 4G, Wi-Fi, GPS, and Bluetooth and according to the big players’ roadmaps, the quest for 5G is also now under way. A closer look just at a smartphone reveals several tens of RF-filters to separate the different and very narrow frequency bands from each other. Form factors and low power consumption are major prerequisites for success. Compact micro-electro-mechanical systems (MEMS) are combining established silicon (Si) micromachining technologies with piezoelectric materials such as aluminum nitride (AlN) to produce electroacoustic components for radio frequency filter applications. Despite being

the dominating choice for bulk acoustic wave (BAW) filters, the piezoelectric properties and electromechanical coupling of AlN are limited. Reaching out for higher frequencies (up to 5Â GHz) requires either a change to a new type of structure, its replacement by a new material , or, for the maximum effect we should do both.

ALUMINIUM SCANDIUM NITRIDE AlScN Recent studies show that by alloying wurtzite AlN with cubic ScN we can form a metastable, wurtzite aluminum scandium nitride (AlScN) with superior piezoelectric properties (up to 400% increase in piezoelectric coefficient d33) and better electromechanical coupling kt2. Numerous studies were published to confirm and explain this phenomenon in more detail. At Fraunhofer IAF we see this recent discovery as a potential key to high frequency filters for the next generation of mobile communications.

Figure 1: Examples of different structures with membranes produced at Fraunhofer IAF (a) Top: Schematic drawing of Lamb mode wave resonator, bottom: membrane movement recorded using laser Doppler vibrometry (LDV); (b) Top: piezoelectric microlense, bottom: lense movement recorded with white light interferrometry (WLI).


063

COMPETENCES IN WIRELESS COMMUNICATION

LAMB MODE WAVE RESONATORS Surface acoustic wave (SAW) resonators have an advantage in comparison to BAW-filters due to their comb-like interdigital electrodes that make the devices less sensitive to fabrication uncertainties. However, the maximum frequency of operation for SAW devices on the market is limited to 2.5 GHz and the main drawback is incompatibility with Si integrated circuit (IC) technology. To combine the advantages of SAW and BAW we are currently investigating Lamb mode wave resonators (LWR), where a BAW-like membrane forms SAW-like electrodes (Figure 1). The application oriented research on thinmembrane LWR is on the rise, together with rapidly increasing number of reports on new suitable piezoelectric materials and functional devices operating in the lab environment. While the performance of conventional, AlN based LWRs is still limited by the moderate material

constants, the recently reported AlScN-based structures show great potential in overcoming this limitation in the near future. Our main objective for current developments is to confirm that Al1-xScxN layers can improve the RF device performance and reliability. This demands a material with high piezoelectric constants (d33 > 15 pC/N), improved electromechanical coupling (kt2 > 10%), excellent crystal quality, and homogeneous properties over 8” substrates. We are focusing on “market-ready” conditions, cooperating with Evatec in the field of multitarget sputter equipment (Figure 2) and RF-component producers. Current activities are mainly focused on prospective application fields for Al1-xScxN such as RF-electro-acoustic (x>0.25) and piezo MEMS (x>0.4) devices (Figure 1), in order to test the first system prototypes.

Fraunhofer Institute for Applied Solid State Physics IAF, Tullastraße 72, D-79108 Freiburg Dr. Agnė Žukauskaitė | agne.zukauskaite@iaf.fraunhofer.de Dr. Vadim Lebedev | Mail vadim.lebedev@iaf.fraunhofer.de www.iaf.fraunhofer.de

Figure 2: Multisource sputter tool by Evatec: 8” multi-target system used for growth of novel piezoelectric materials at Fraunhofer IAF. Inset (bottom right) – sputter process from two targets for growth of compounds, such as AlScN.


LAYERS2016

Driving up productivity for advanced thin film resistor and backside via metal stacks for Wireless applications A batch processing approach brings many advantages like low temperature processing for compound semiconductor applications but the industry also cant afford to miss out on the advantages of automated wafer handling of cluster platforms with cassette -tocassette operation. Dr. Reinhard Benz, shows how Evatec’s III-V customers can now have the best of both worlds.

BATCH PROCESSING AT LOW TEMPERATURES IMPROVES PRODUCTIVITY In addition to the throughput advantages of batch-type equipment for smaller substrates, there are several good reasons for batch processing in the manufacturing of RF-devices like switches, power amplifiers based on HBT using 4“ and 6“ GaAs wafer or RF-SAW filter. The significant higher productivity achieved is a result of the very moderate temperature regime in a smaller temperature band which allows much faster processes for all type of temperature critical metallization processes like PR for lift-off processes or all wax-bonded type wafers on carriers. A seed layer of typically TiW-Au or TiWCu for backside via is a good example of a wax – bonded thinned GaAs wafer on a a sapphire carrier where the max temperature during procesing needs to stay below 100°C. Fig 1 shows the throughputs achievable for different stack recipes. The seed layer needs to meet

certain sidewall and bottom coverages for a successful plating step. Thanks to the superimposed RF/DC sputtering, the step coverage of typ via with A/R of 1:3 or up to 1:5 are 10% - 15% and can be further increased by using additional RF-bias applied on the chuck.

RADIANCE OFFERS BATCH PROCESSING IN A CLUSTER ARCHITECTURE The RADIANCE (Fig. 2) loads an entire batch on a turntable and processes all the wafers at the same time on actively cooled chucks, with the benefit that the single wafer sees the active back gas cooling most of the time during one loop and the heat load from the sputter source only shortly. These smooth thermal cycles mean that prcocesses below 90°C can be achieved easily while still maintaining maximum deposition rates for the highest throughput. Compared to standard 6“ single wafer cluster type sputtering the throughput of RADIANCE can be up to 200% higher for temperature limited processes.

Similar throughput advantages can also be achieved using RADIANCE for Thin film-Resistors like TaN in RF-devices. In this case we can engineer the process to control stress (±200MPa), TCR (<100ppm/K) and finally tune resistivity uniformity to values typically <1.5% (maxmin) on 6“ wafers according to Fig 3. Key factors for success are the process stability and smooth temperature cycles achieved during batch processing. Fig 3: Sheet resistance uniformity of TaN TFR on 6” wafer

“std. dev” Unif: 0.57% “max min” Unif: ±1.14%


09

COMPETENCES IN WIRELESS COMMUNICATION

Fig 1: Low temperature seed layer production throughput on RADIANCE

THROUGHPUT ACCORDING TO STACK DESIGN WTi - film Au - film

1’500Å 3’000Å

1’500Å 3’000Å

1’500Å 1’500Å

Dep. time WTi-Au

37.0

25.5

17.5

Etch time SPM

16.0

16.0

16.0

Run time total (min)

46.3

34.8

26.8

26

35

45

15’600

20’800

27’000

Wafer / hour Wafer / month

RADIANCE DELIVERS MORE FOR COMPOUND SEMICONDUCTOR PROCESSING Beside the productivity benefits of course, there are other reasons why RADIANCE is already now well established at major III-V manufacturers: Handling and processing of 150mm GaAs and 159mm sapphire substrates at the same time without any tool-reconfiguration Pre- and/or post treatment steps like a clean-etch step (ICP) possible in a seperate single wafer process module on the same platform The possibility to use a fully integrated optical thickness monitoring system (GSM) for repeatability accuracy of much better than <1 % for HD-SiO2 using closed loop process control In situ plasma-emission-monitoring (PEM) for increased deposition rate and process stability control in reactive sputter processes operating in the transition regime close to metallic mode Secure handling of temperature sensitive or very brittle substrates e.g. LiNb used for RF-SAW filters.

RADIANCE – READY FOR THE CHALLENGES OF THE FUTURE

Fig 2a. Batch Process Module with up to 5 sources

Fig 2b. Turntable for BPM with water and gas supplies for backside cooling

III-V manufacturing is turning more and more to standards set by the Silicon industry when it comes to production robustness for improving yield and productivity in high volume manufacturing. Evatec’s RADANCE is ready to solve the challenge of securing the tight binning of the device parameters and achieving new throughput levels at the same time.

“RADIANCE delivers new levels of productivity in RF devices”


LAYERS2016

ContactUs GLOBAL HEADQUARTERS

EUROPEAN HUB

AMERICAN HUB

Evatec AG Hauptstrasse 1a, CH-9477 Trübbach, SWITZERLAND T: +41 81 403 80 00 E: info@evatecnet.com www.evatecnet.com

Evatec Europe GmbH Karl Hammerschmidt Str. 34, DE-85609 Aschheim Dornach, GERMANY T: +49 89 75 505 100 E: info@evatecnet.com

Evatec NA inc. 780 Carillon Parkway, Suite 150 St Petersburg, Florida 33716 USA T: +1 727 201 4313 E: infoNA@evatecnet.com

EUROPE Austria, Benelux, France, Germany, Ireland Portugal, Spain, U.K Evatec Europe GmbH, GERMANY T: +49 89 75 505 100 E: info@evatecnet.com

Italy

Liechtenstein, Switzerland

Russia/CIS

Scandinavia

Evatec Italia S.r.l, ITALY T: +39 02 93 25 7447 E: info@evatecitalia.com TBS, RUSSIAN FEDERATION T: +7 495 287 8577 E: infos@tbs-semi.ru

Evatec (LIECHTENSTEIN) AG T: +423 388 19 10 E: info@evatec.li

United Vacuum & Materials AB, SWEDEN T: +46 31 681 772 E: info@uvmab.com

AMERICAS North America

Evatec NA inc., USA T: +1 727 201 4313 E: infoNA@evatecnet.com

South America

RE9 Commercio e Servicos Limitado, BRASIL T: +55 11 5097 6450 E: re9.comercio@terra.com.br

ASIA China

Japan

Taiwan

India

DKSH (China) Co Ltd., P. R. CHINA T: +86 21 5383 8811 E: cn.spe@dksh.com DKSH Taiwan Ltd., TAIWAN T: +886 3 657 8788 Ext 110 E: henfy.su@evatecnet.com

South Korea

Canon Marketing Japan Inc., JAPAN T: +81 3 6719 9111 E: yanai.norio@canon-mj.co.jp Toshniwal Instruments (Madras) Pvt. Ltd. INDIA T: +91 44 2644 8983/8558 E: sales@toshniwal.net

Evatec SE Asia

Evatec SEA Pte. Ltd. SINGAPORE T: +65 3157 5839 E: infoSEA@evatecnet.com Evatec SEA (Malaysia) Sdn Bhd MALAYSIA T: +60 04 6192658 E: infoSEA@evatecnet.com

Evatec Korea Ltd, KOREA T: +82 31 205 5872 E: rachel.lee@evatecnet.com

AFRICA, AUSTRALASIA, MIDDLE EAST South Africa

Labotec (PTY) Ltd, SOUTH AFRICA T: +27 11 315 5434 E: louish@labotec.co.za

Australia

Scitek Australia PTY LTD, AUSTRALIA T: +61 (0)2 9420 0477 E: contact@scitek.com.au

Israel

Picotech Ltd., ISRAEL T: +972 3 6356650 E: nitzan@picotech.co.il


CONTACTS

81


www.evatecnet.com


Turn static files into dynamic content formats.

Create a flipbook
Issuu converts static files into: digital portfolios, online yearbooks, online catalogs, digital photo albums and more. Sign up and create your flipbook.