Panel Processing Solutions

Page 1

PANEL SOLUTIONS

THIN FILM SOLUTIONS FOR GLASS & ORGANIC SUBSTRATES


MARKET DRIVERS

“INCREASING MINIATURIZATION” MINIATURIZATION SMALLER, THINNER, DENSER... The future of electronics is heading towards higher reliability, more functionality and increasing miniaturization. The efficient use of smaller housing volumes and tiny surfaces is gaining in importance. In addition to miniaturization in general, the thinning of electronic devices such as smart phones and tablets has been a relentless trend over the last two decades. Notwithstanding this trend for reduced ticknesses however, smart phones and tablets in general have retained the same display sizes. Packaging CPU(s) and Packages on carrier is becoming challenging and system integration into PCB (embedding) may be one solution we will see for future performance applications.

Typical thickness of (smart) phones in mm 24

“THICKNESS REDUCED BY A FACTOR OF 4 SINCE THE YEAR 2000”

22 20

(mm)

18 16 14 12 10 8 6 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015

Year 2

2016


PANEL PROCESSING

BETTER DEVICES WILL DEMAND BETTER PRODUCTION SOLUTIONS FROM IMPROVING THERMAL MANAGEMENT TO INCREASING DATA TRANSFER RATES THERMAL MANAGEMENT HEAT SPREADING, TEMPERATURE MANAGEMENT Increasing clock frequencies and packaging density on the silicon chip, in the package and on the printed circuit board all contribute to the trend to higher operating temperatures. The development of semiconductor circuits with minimized power loss is in itself not enough to solve this problem. Thermal management within electronic circuits by spreading and deploying heat with dielectric ceramics or heat pipes is a major challenge for designers and manufacturers.

DEVELOPMENT OF ADVANCED DRIVER ASSIST SYSTEMS Development of Advanced Driver Assist Systems (ADAS) means that many vehicles now have a 360° view

Surround view

Traffic sign recognition

Adaptive cruise control

Emergency braking Pedestrian detection Collision avoidance

Blind spot detection Cross traffic alert Park assist

Park assist

Park assistance / surround view

of their immediate surroundings and are able to identify and avoid potential hazards either by warning the driver, or by automatically taking over an element of the vehicle

Long-range radar

Lane depature warning

LIDAR

such as braking or steering if the driver doesn’t react.

Camera

Looking at the next development stages and extracting

Ultrasound

Surround view

Short/medium range radar

the needs at product feature level regarding the vehicle brain (ECU) helps us define the technology challenges. Performance: How to track the huge and increasing number of operations per second (DMIPS). Power Dissipation: How to deal with the heat generated by an increasing computational power. Upgradeability: Which strategies must be adopted

PERFORMANCE 5G, RADAR, LIDAR The evolution of cellular networks has allowed users to experience faster data speeds and lower latency. Todays’ infrastructure and devices enable individuals’ increasing use of data-heavy services and applications. The rise

to upgrade the hardware architecture from older

in the volume of data being carried by cellular networks has been driven

ECUs to the next generation.

largely by consumer demand for video and moves to the use of cloud

Miniaturization: How to meet the goals when

services. Many other data-intensive applications, both consumer-oriented

available space within the car for electronic

and business-to-business, are also becoming closer. Examples include

components is decreasing.

virtual and augmented reality, 3D and ultra-HD video, and “haptic” feedback

Cost: How to decrease the cost of electronic modules essential for wider adoption in the market.

applications where information is given to the user through their sense of touch.

3


MARKET DEVELOPMENTS PCB & substrate manufacturer

OSAT Foundaries

Organic substrates

Silicon / Glass interposer

High-end PCBs Growth opportunity Technology evolution

Economics of scale

Opportunity Wafer design rule

More functionality & advanced technology nodes

PCB design rule

100µm

10µm

5µm

1µm

100nm

10nm

WINDOWS OF OPPORTUNITY “SI TECHNOLOGY AND ORGANIC SUBSTRATE PROCESSING ARE COMING TOGETHER”

TECHNOLOGICAL EVOLUTION, GROWTH OPPORTUNITY AND ECONOMIES OF SCALE Electroless copper processes currently have their limits at somewhere < 10µm line space. Even though improvements for electroless copper process are being developed, there is an increasing demand for dry-processing (PVD) because of miniaturization. Better adhesion on smoother surfaces, better particle performance and similar cost of ownership are the important criteria. Evatec maintains a giant manufacturing solution pool from its activities in Advanced Packaging, Wireless, MEMS, Power Devices, Optoelectronics and Photonics and is ready to support and guide customers for these technological developments.

4


PANEL PROCESSING

EVATEC IS YOUR PARTNER Delivering proven PVD manufacturing solutions in Advanced Packaging

Flip Chip substrates

System in Board integration (SIB)

Fan-out with mold compound

Package with glass interposer

ADVANCED PACKAGING DEVELOPMENTS

“EVATEC IS READY WITH PRODUCTION SOLUTIONS ACROSS CURRENT AND UPCOMING TECHNOLOGIES”

FC-CARRIER, EMBEDDING, FAN OUT, GLASS INTERPOSER Driven by the needs of miniaturization, different

Advanced Packaging methods have emerged. Classic Flip Chip carriers are still a major vehicle for packaging. Embedding in laminate (PI, LCP, FR4) is the system integration in High End PCBs. Active and/or passive components are positioned in the stack up so that they are completely integrated into its construction. Fan-Out PLP - embedding in mold compound and generating economies of scale for FOWLP. Active and passive components are assembled on a reconstructed panel, molded and detangled by redistribution layers. Glass interposers as an alternative for costly silicon interposers.

5


EVATEC KNOW-HOW

Technological evolution is driving our industry. Decreasing process nodes of front end processes, lower processing temperatures, reduced contact resistance and improved shield kit life time are just some of the parameters and conditions that underpin ongoing improvement.

DEGASSING ORGANIC SUBSTRATES OF MANY DIFFERENT KINDS ATMOSPHERIC BATCH DEGAS A batch degasser concept, where a batch of substrates are simultaneously degassed in multiple heated slots with nitrogen laminar flow, is the preferred solution for the degassing of organic substrates (e.g. Epoxy Mold compounds, FR4, Polyimide, LCP) The advantages of Evatec’s atmospheric batch degasser are much faster and better controlled heating of the substrates along with a simpler design. Diffusion of volatile components from the bulk material to the surface is driven only by temperature. Desorption is driven by the concentration gradient which is the same whether desorption takes place in vacuum or in atmosphere. However, the advantage in atmosphere is that the concentration gradient can be always kept high if a laminar flow of inert

gas is applied, while in vacuum the degassing of the volatile components has to take place by less efficient molecular diffusion. On completion of degas, the substrates can be transferred manually or automatically to the next vacuum based process step according to customers’ preferred production approach. Rapid transfer excludes any possibility of atmospheric water adsorption as they cool. Careful process engineering means that subsequent steps like etch and deposition can be carried out at temperatures lower than the original degas temperature to avoid transport of any additional volatile components to the substrate surface.

FR4+PI samples during 20nm etch

Fanout Mold samples during 20nm etch

Plasma Off Ar Off 1.E-06 Ar On

Plasma On

1.E-07

30

50 Without BD

6

Effective outgassing improves subsequent process performance

1.E-05

Sum. VC’s partial pressure (mbar)

Sum. VC’s partial pressure (mbar)

1.E-05

1.E-08

Excellent uniformity of only ± 4ºC using atmospheric batch degas technology

70 With BD

90

Time (s)

110

130

150

Plasma Off

Ar Off

Plasma On

Ar On 1.E-06

1.E-07

1.E-08

5

25 Without BD

45 With BD

65

Time (s)

85

105

125

Outgassing beahviour with / without pretreatment on batch degasser


PANEL PROCESSING

LEADING THE WAY WITH ADVANCED PROCESS CONTROL (APC) KNOW-HOW AND PROCESS CONTROL

LOW TEMPERATURE PROCESSES ARCTIC CHILLING, HIS PROCESS KNOW-HOW

LOW CONTACT RESISTANCE

Artic Cooler

Airlock

Atm. Batch Degas

160 140

Etch 100Å

Etch 100Å

Ti 1kÅ

Cu 2kÅ

Tmax = 120ºC

120 100 80 60

0

10

20

0

50

100

150

Time [min]

200

250

300

Transfer

Transfer

Transfer

Transfer

0

Transfer

20

Transfer

40 Transfer

Temperature [ºC]

Evatec has the know-how and experience in delivering processes with extraordinary low temperature loading. For example, Aluminium Nitriode (AlN) is a thermo-conductive dielectric material which is usually deposited at temperatures around 400ºC to give the required grain structures for good “in plane” thermal conductivity. However, deposition of AlN using Evatec’s own custom HIS process and temperature management options can achieve good lateral thermo conductivity at much lower temperatures.

350

400

Organic materials like EMC, FR4, PBO, Polyimide, LCP contain many volatile compounds that are released during vacuum processing. Without correct preparation prior to metallization, these volatile compounds contaminate the contact pads that should be connected by the Redistribution Layer (RDL). Preparing these organic materials well for vacuum processing is an essential factor for producing low and stable contact resistance (Rc) values.

FINE TUNED DEGAS, ETCH AND SPUTTER PROCESSES The Evatec Atmospheric Batch Degasser (ABD) provides a clear technical and economic advantage when processing materials with volatile compounds. Stable etching processes and well aligned PVD processes (with or without active cooling) are key for low temperature processing and low contact resistance (Rc). H20

H20 H20

Time [sec]

Step 1: Degas process to eliminate absorbed moisture

Example of a low temperature process set up.

Zone 3 Zone 2 Zone T

t* Region not accessible

Columnar grains

1

Cutout to show structure

0.5

Zone 1

0.2

0.1 Porous, tapered crystallites seperated by voids, tensile stress Densly packed fibrous grains

Ar+ Fine-grained, nanocrystalline, with prefered orientation

Ion etching zone

10-1 1 Transition from tensile (low E*) to compressive stress (high E*)

T 120ºC

Recrystallized grain structure

T*

Line separating net deposition and net etching

10

Region of possible low-temperature, 102 low-energy, ion-assisted epitaxial growth Region not accessible

103

E*

Ar+

CO CO2 CH CxHy MxOy x y

Ar+

CO H2O

Step 2: Sputter etch to remove the native metal oxide

Dense film reduction of deposition by sputtering

Zone diagram derived from Thornton‘s diagram by Andre Anders (2010). Diagram shows how effects of process temperature and energy on film structure are interchangeable. Fine grained nanocrystalline structures can be achieved at lower process temperatures with higher energy processes.

Step 3: Sputter deposition of Ti-Cu barrier / seed layer

Typical metallization process sequence

7


PVE & PVD PROCESS KNOW HOW THERMAL CONDUCTIVE FILMS HIGH END LAYERS FOR TEMPERATURE SPREADING e.g. ALN, ALON, SIC

Triple the in plane thermal conductivity of the whole system with 2x 5µm AlN films on 1mm thick PCB Cu stuctures 5µm AlN 50 W/mK

Thermal management and the avoidance of hot spots is extremely critical for electronic systems and has a strong influence in prolonging lifetime and improving device performance. Boards must be kept cool under all operating conditions.

1mm PCB 0.25 W/mK

We can define three requirements for the production of high performance sputtered layers for conduction of heat away from the device:

3 x-plane

100

2.5 in-plane

80

2

60

1.5 RC - Rocking Curve

40

1

20

0.5

0

0

Increasing process temperature

Evatec’s HIS process achieves good structures at low temperatures AlN grown by DC sputter at low temperatures exhibits columnar structures.

8

AlN grown by DC sputter at high temperatures typically 400ºC is fine-grained and well oriented.

FWHM [º]

Evatec has the know-how for selection of the right materials and sputter conditions to meet these requirements.

Thermal conductivity of Evatec AlN (on 300mm Si wafer) 120

In-plane thermal conductivity [W/mk]

1. Layers should be produced from a high thermal conductivity material >50 W/mK. 2. Layers should have good dielectric constant >3 to isolate the contact path from the conductive core layers. 3. The sputter process should fabricate the thermal conductive film at low temperature to not exceed the Tg of the PCB material (typically <150ºC ) during the deposition time.


PANEL PROCESSING

TSV SOLUTION AND VIA METALLIZATION

FERROMAGNETIC INDUCTORS AND SHIELDINGS Evatec‘s HIS technology provides very high directionality combined with a superior film texture. In 10x100μm vias for Ti and Ta the bottom coverage is >10% and the sidewall coverage is >2%. The minimal sidewall coverage for Cu 10x200μm vias is >1% which is sufficient for electroplating. Successful electroplating following the TSV metallization process on 300mm wafers using Evatec’s CLUSTERLINE®300 can be seen by X-Ray tomography. The TSV process and the know-how for metabolizing critical topographies can be deployed to panel sized applications (e.g. on the PNL).

ADHESIVE LAYERS

METLALLIZATION OF EMBEDDED COMPONENTS FOR IMPROVED DEVICE PERFORMANCE The majority of todays’ PCB and IC-substrate manufacturing uses chemical seed layers for seeding the initial metal layer. To ensure a good bond of this chemical seed layer, surface treatments are done to make the surface more rough. This rougher surface (Ra typically between 2-5µm) enhances the adhesive bond of the chemical seed layer, but is detrimental for high frequency needs. Furthermore fine line patterning requires surfaces with lower roughness. Smooth surfaces (far below 1µm Ra) are beyond the capabilities of chemical seed layer deposition and require sputtering. Adhesive layers applied to different material types with varying roughness have been studied with the main objective of achieving a good adhesive bond. Boxplot - Tests for seed layer adhesion on typical substrate materials after Evatec PVE and PVD processes

The HIS process has been tailored to give industryleading CoO for directional PVD compared with other technologies.

1.000

800

[N/m]

High specific deposition rates. High throughput. Lower consumables cost. (planar target, standard shields) Longer target life.

1.200

600

400

200 8 data sets Ra ˜ 50nm

3 data sets Ra ˜ 100nm

2 data sets Ra ˜ 600nm

ABF (100nm Ti + 500nm Cu)

Panasonic MC-100MS/EX (100nm Al + 1500nm Cu)

0

Cross section of liner plated TSVs AR 20:1

Kapton HN (50nm Cr + 500nm Cu)

Kapton HN (50nm Cr + 500nm Cu) after 5x reflow

Substrate and seed layer combinations

ETCH PROCESSES Process temperature control is the most important parameter when etching organic substrates such as ABF, EMC, FR4, PBO or PI. Evatec process know-how ensures that the etch rates, the etch uniformity and the thermal budget of the processed substrates are in balance. Evatec can provide physical or chemical plasma etch processes (O2, H2, Ar or N) or a combination of these etch modes in one module.

9


PRODUCTION SOLUTIONS

PNL The cluster-like PNL system with its atmospheric handling and atmospheric batch degasser is designed for best in class particle and processing performance. Production on the Evatec PNL system ensures low process temperatures, low contact resistance and best in class adhesion strength on various substrates and contact pads.

KEY FEATURES Single panel processing Etch/clean module Up to 4 modules (3 PVD & 1 etch) Atmospheric Batch Degasser OHT integration MES integration (SECS/GEM) Reactive processes Arctic cooling DC and DC pulsed sputter HIS processes

10

Rotatable magnetron cathodes


PANEL PROCESSING

MSP & LLS EVO II The MSP and LLS EVO II batch systems are ideal for rigid or flexible panel substrates. In combination with a manually loaded degas station and our low process temperatures, low contact resistance and best in class adhesion strength on various substrates and contact pads can be achieved. KEY FEATURES

SOLARIS The Evatec SOLARIS platform is suitable for smaller panel formats. Every format that fits into the 15” carrier (e.g. 265mm x 265mm) can be processed in this throughput optimized equipment with its “inline” manufacturing concept.

Batch panel processing RF etch Up 7 sources (6 PVD and 1 etch) MES (SECS/GEM) Reactive processes DC, DC pulsed and RF sputter HIS processes Rotatable magnetron cathodes and planar magnetron sources

KEY FEATURES

Single panel processing with carrier Glow discharge or RF etch Up to 5 modules (4 PVD and 1 etch) Atmospheric Batch Degasser OHT integration MES (SECS/GEM)

Reactive processes Cooling station DC, DC pulsed and RF sputter HIS processes Planar magnetron sources

11


PANEL SOLUTIONS

EVATEC SOLUTIONS FOR PANEL PROCESSING OVERVIEW Substrate size Key features

Up to 620 x 620mm (24.4” x 24.4”) rigid or flexible

PNL

SOLARIS

MSP

LLS

Up to Ø 15” (e.g. 265 x 265mm) rigid or flexible

Materials

Single panel processing Etch/clean module Up 4 modules (3 PVD & 1 etch) Atmospheric Batch Degasser OHT integration Single panel processing with carrier Glow discharge or RF etch Up 5 modules (4 PVD & 1 etch) Atmospheric Batch Degasser OHT integration

MES integration (SECS/ GEM) Reactive processes Arctic cooling DC and DC pulsed HIS Rotatable magnetron cathodes MES (SECS/GEM) Reactive processes Cooling station DC, DC pulsed and RF HIS Planar magnetron sources

up to 6x 610x610mm (24” x 24”) rigid or flexible

Batch panel processing RF etch Up to 7 sources (6 PVD & 1 etch) MES (SECS/GEM) reactive processes

DC, DC pulsed and RF HIS Rotatable magnetron cathodes and planar magnetron sources

Up to 4x 305 x 457mm (12” x 18”) flexible

Batch panel processing Ion milling or RF etch Separate etch and PVD chamber Up 5 PVD sources MES (SECS/GEM)

Reactive processes DC, DC pulsed and RF HIS Rotatable magnetron cathodes and planar magnetron sources

Typical substrates: FR4, LCP, PI, molded compound, glass, Si, passivated substrates (e.g. PI, PBO, SiN, SiO2) Typical contact pads: Al, Cu, AlSiCu Typical sputter materials: e.g. Ti, TiW, Cu, CrCu, Ni, NiV, NiCu, Au, Al, AlN, TaN, Ta2N, TiW-silicide, NiCr, Cermet, Au-TaTa2O5-Ta-Au, SiCr, Pt, Fe, Mo, Cr, Ag, TiOxNy, SiO2, WTiAu, TiN-Al, AlCu4, AlSiCu, Cr-Ni-Au, Cr-NiV-Ag, Cr-Cu, ITO, ZNo, Ag, Ti

ABOUT EVATEC Evatec offers complete solutions for thin film deposition and etch in the semiconductor, optoelectronics and photonics markets.

Our team is ready to offer process advice, sampling services and custom engineering to meet our customers individual needs in platforms from R&D to prototyping and true mass production.

Our technology portfolio includes standard and enhanced evaporation, a range of advanced sputter technologies as We provide sales and service through our global network well as plasma deposition & etch. of local offices. For more information visit us at www.evatecnet.com or contact our head office.

Evatec AG Hauptstrasse 1a CH-9477 Trübbach Switzerland

Tel: +41 81 403 80 00 Fax: +41 81 403 80 01 info@evatecnet.com www.evatecnet.com

Product descriptions, photos and data are supplied within the brochure for general information only and may be superseded by any data contained within Evatec quotations, manuals or specifications. Edition 1: Printed July 2017


Turn static files into dynamic content formats.

Create a flipbook
Issuu converts static files into: digital portfolios, online yearbooks, online catalogs, digital photo albums and more. Sign up and create your flipbook.