Summer02 exposing scaam

Page 1

F S Pattern Transfer/Shrinks P

E

C

I

A

L

O

C

U

S

Exposing the SCAAM Theory, Characterization, and Confirmation of the Validity of an Innovative Optical Extension Technique Marc D. Levenson, M.D. Levenson Consulting, Takeaki (Joe) Ebihara, Canon USA Inc., Sunil Desai and Sylvia White, KLA-Tencor Corporation

The Sidewall Chrome Alternating Aperture Mask (SCAAM), a next generation alternating Phase Shift Mask (altPSM) structure, has printed 75 nm semi-dense lines (220 nm pitch) without characteristic PSM anomalies, thus offering the potential for sub-100 nm imaging with 248 nm light. The even-lower-cost Phase Phirst! paradigm would employ ready-to-write SCAAM blanks with pre-patterned surface topography, chrome and resist, eliminating the cost of writing a custom phase pattern on every plate. Circuit designers, however, would have to place every minimum-sized circuit feature at a predefined phase-step location. This system is economically superior to other advanced lithography schemes when standard pre-patterned substrates can be mass-produced using wafer fab techniques, which requires standardization of design grids. Using a conventional or attenuated phase-shift trim mask in a two-exposure lithography scheme facilitates arbitrary interconnections.

It has long been known that alternating aperture phase-shifting masks (alt-PSMs) can project images with pitches down to 0.5位/NA (about 170 nm for 248 nm light) and almost unlimitedly small dark lines. (The current record is 9 nm1) and low CD variation. However, widespread implementation of alt-PSM technology has been delayed by various challenges, including imaging artifacts and the high cost of production-quality reticles. By addressing the manufacturability issues of alt-PSMs, we have found a mask structure and production technology that realizes the full theoretical resolution and CD control potentials of these reticles and promises low cost implementation.2 Low cost is important, as roughly half of all reticles are used for chip designs that have production runs under 600 wafers.3 In such

short production runs, the reticle cost already dominates all other factors at 250 nm and the high projected cost of sub-100 nm reticles cannot be borne by this industry segment. The Phase Phirst! PSM paradigm discussed here can result in lower overall cost of production for chips with wafer runs of one thousand 200 mm-equivalent and below. However, certain chip-design constraints are necessary to achieve the necessary economies of scale, and it has proved difficult to interest the design community in implementing these design rules. The key innovation is the Sidewall Chrome Alternating Aperture Mask (SCAA mask or SCAAM), a next generation alternating Phase Shift Mask (alt-PSM) structure shown in Figure 1(a).2, 4 The SCAAM process etches the phase topography first and then sputters an opaque chrome layer over the phase layer, finally coating with resist. A second write step then forms transparent openings in the conformal chrome layer to define the image. The great optical advantage of this structure is that the physical environment is the same for all Summer 2002

Yield Management Solutions

35


S

P

E

C

I

A

L

F

O

C

U

S

Figure 1. Reticle structures, rigorous electric field simulations at the reticle plane and aerial images through focus for 100 nm line—100 nm space patterns for a SCAA mask (a), dual trench PSM (b) and undercut PSM (c) as imaged at 248 nm, NA=0.744, 4x and σ =0.2 (k 1 =0.30). These calculations were performed using ProMAX/2D and ProLITH/2 from KLA-Tencor, Inc.

openings in the chrome, independent of phase. That immediately eliminates most of the causes of the asymmetries that plague other alt-PSM structures.3, 5 Figure 1 compares the electric field amplitudes and the aerial image intensities for three different mask structures, as calculated using KLA-Tencor’s ProMAX/2D and PROLITH/2 process window simulation software. It is clear that the E-fields of both the 0° and 180° apertures are the same at the chrome surface of the SCAA mask. That is not true of the dual trench structure, where the bottoms of the trenches affect the amount of light transmitted and the trench walls alter the phase.2 The net result is a dimmer, asymmetrical image, and one which varies with focus because of a trench-width dependent error in the effective phase. The idealized undercut structure produces less asymmetry and phase error, but the 80+nm undercut of the chrome edges significantly reduces the chrome layer adhesion. In the SCAA mask structure, all chrome is supported and all 36

Summer 2002

Yield Management Solutions

trench walls are covered. The SCAAM symmetry between 0° and 180° spaces significantly reduces the complexity of the mask design (i.e. OPC) process and helps achieve the optical performance predicted by simple theories.4, 6 Imperfect fabrication is less of an issue for the SCAA mask structure than for other alt-PSM designs. Figure 2 shows that many classes of phase defects are simply buried under the chrome and thus cannot print.4 Pinholes, protrusions, mouse-bites and other chrome patterning errors can be repaired using conventional techniques since the chrome layer is in contact with the substrate everywhere. Errors in the chrome layer cannot induce unrepairable phase defects, since the phase layer is patterned first in the SCAA mask process. An inspection between phase patterning and phase etch has been shown to detect all printable defects except tiny phase pits in 0° spaces.7 It is even conceivable that FIB tools may be able to repair phase


S

P

E

C

I

A

L

F

O

C

U

S

the mask and wafers were performed with KLA-Tencor 8100 and 8250 automated CD metrology tools. Testing the various patterns to determine their image transfer characteristics requires measuring a large number of CD’s, both on reticles and on printed wafers. The total number of independent measurements in this program exceeded 16,000. The massive measurement task was simplified by the use of a KLA-Tencor 8250 RiverRiverbed CD SEM, with its automation capabilibed ties and high throughput. The high-positioning-accuracy laser stage made it possible for an unattended CD SEM to drive Figure 2. Phase defects that would be catastrophic for conventional PSMs are unprintably to each measurement location automatiburied in chrome in the SCAA mask structure. cally. Simplified recipe setup reduced the number of recipe sequences, for example, errors on completed masks by machining entire winby measuring feature widths and pitch within the same dows to a 180° or 360° phase level and then etching or site. Pattern recognition software ensured that the meare-depositing opaque material to closely approximate surements were taken of the correct edges, even when the correct transmission. Because strong-PSMs suppress the environment was not as expected due to pattern the MEEF, these repairs need not be made to the precicollapse or other anomalies. Finally, the date was easily sion required for COG masks intended to project displayed as focus/exposure matrixes, as the F and E images with the same dimensions. Thus, since inspecvalues for each point measured were entered directly in tion and repairs are feasible, it may be that SCAA the recipe, so that data files were ready to be dropped masks will prove more economical than other strong directly into KLA-Tencor’s Klarity ProDATA, process PSM structures at the 100 nm node and beyond. window analysis software. Bossung plots and overlapping process windows were obtained in minutes. Riverbed

Riverbed

Experiment

Figure 3 shows typical line-space (L/S) results obtained Subwavelength lithography requires an exposure tool at 350J/m2 dose for NA=0.63 and 0.73.8 The 70 nm with minimal aberrations and a highly capable resist line, 220 nm pitch nominal structure prints with process as well as an appropriate photomask technology. The test mask (prepared by DNP, Ltd.) contained >180 line-space targets with a wide variety of CDs and pitches.2 A 4x Canon FPA-5000 ES3 step-and-scan exposure tool (with total aberrations <0.016λ) performed the exposures reported here in 210 nm thick Shipley UV-6-SL resist. The ES3 partial coherence was set to σ=0.3 and the NA varied between NA=0.63 and NA=0.73 in three steps. The wafers were coated with 55 nm of Brewer Science Co. DUV 42 BARC, baked for 60 seconds at 205°C prior to resist coating. The resist was prebaked at 130°C for 90 seconds and post-exposure baked at 130°C for 90 seconds before 30-second development Figure 3. SEMs of 75 nm line, 145 nm space 5-line patterns printed at NA=0.63 and in 0.26N TMAH. Top down SEMs of NA=0.73 imaged automatically using a KLA-Tencor 8250 CD SEM. Summer 2002

Yield Management Solutions

37


S

P

E

C

I

A

L

F

O

C

U

S

75±3 nm lines over a 0.6 µm range of focus: a k1 factor of 0.19 (at NA=0.63), below the theoretical minimum for equal line-space patterns. Note that the widths of the spaces are constant over the focus range. Had there been significant phase or amplitude errors, adjacent spaces would have had visibly different widths. Careful measurements revealed a shift <5 nm except near the ~210J/m2 development threshold. The 110 nm halfpitch of this pattern corresponds to k1=0.28, and equal line-space patterns of that dimension appeared near the isofocal dose of ~285J/m2. The larger NA gave very similar imaging performance, but with DOF actually improved! The smallest isolated lines printed at 106±4 nm width at NA=0.63, even though the geometrical width of the chrome would have imaged to 55 nm. This large (>50%) iso-dense bias is characteristic of uncorrected alternatingPSM designs.9 Proper iso-dense correction may be achieved in dual-exposure trim-mask PSM systems either by sizing the windows bracketing isolated PSM lines correctly or by using an all-dense pattern on the PSM, erasing unwanted lines with a trim mask.1,9,10 Systematically comparing the 1000 nm wide spaces on either side of the isolated lines revealed a through-focus shift of <5 nm, within the usual ±30% overlay specification for 100 nm lines. Similar correspondence between theory and resist measurements were obtained for dense, semi dense and isolated lines with nominal CDs from 70 nm to 150 nm.

Quantitative results

Figure 4 shows the Bossung curves for the isolated and 220 nm pitch dark lines with 70 nm geometrical CD. It is clear that the exposure latitude for the 100 nm lines printed near the isofocal ~285J/m2 dose of the L/S pattern is greater than 12% with a 0.5 µm range of focus. If the nominal CD of the isolated lines at that dose were set to 120 nm (to accommodate the iso-dense bias), its process window would be comparable, as shown by the common process window insert. The boundaries of process windows for 85 nm lines with 270 nm pitch and various larger patterns all lay outside the indicated rectangle. Perhaps more significantly, Figure 5 shows the 0° and 180° space widths of the 220 nm pitch, 150 nm CD patterns lie within ±10% over a 0.4 µm range of focus, with relatively minor spacewidth alternation (or "pitch walking") indicating little effective phase error. The spacewidth alternation of this smallest pitch pattern was considerably greater than that observed for other targets. The insert of Figure 6 shows the methodology for measuring the focus dependent line shift by comparing the pitches measured by the KLA-Tencor 8250 CD SEM on each side of the dark line. One quarter of the pitch difference is the shift of the center line. We found the focus dependent line shift coefficient for all the line-space patterns did not vary with exposure, once the threshold had been exceeded.10 Figure 6a shows how the measured shifts with focus for each line space pattern

Dose (J/m2)

Figure 4. Bossung plots for the 70 nm nominal line in the semi-dense (220 nm pitch) array and the isolated lines printed under the same conditions at NA=0.63. The inset shows the overlapping process volume when the as-printed CDs are set to 100 nm and 120 nm for the dense and isolated lines respectively. The rectangular process window illustrates a DOF of 0.5 µm and an exposure latitude of 12 percent.

38

Summer 2002

Yield Management Solutions


S

P

E

C

I

A

L

F

O

C

U

S

Figure 5. Bossung plots for the 150 nm spaces with 0° and 180° phase shifts in the 220 nm pitch pattern. The relatively small slope of these plots for doses above threshold (~230 J/m 2 ) implies the effective phase shift is ver y near 180°.

fall on a characteristic straight line. The fact that zero shift occurs at a focus level of~0.1 µm (best focus within resist stack) implies that there is no transmission difference between 0° and 180° spaces. Figure 6b plots the measured focus dependent line shift coefficient (in units of nanometers of shift per micrometer of defocus) as a function of spacewidth. The small values observed —even for the somewhat anomalous 220 nm pitch case

—indicates that line shift will not be a problem for SCAA mask imaging within a 0.5 µm CD process window for pitches between 220 nm and 400 nm. The experimental resist CDs printed using the SCAA mask agreed with those predicted by an aerial image model for NA=0.63, 0.68 and 0.73 and demonstrated the unimportance of the residual 0.016 wave aberrations in the ES3 projection lens.4

Figure 6. The shift of the center dark line is measured as 1/4 of the difference of pitch values measured to the left and right of the center line as shown in the inset. The measured shift of lines in 250 nm pitch patterns is linear in focus for all fully developed exposures (a). The measured focus dependent line shift correlates with space width for most sites on the SCAA test mask (b). 10

Summer 2002

Yield Management Solutions

39


S

P

E

C

I

A

F

L

O

C

U

S

insensitive to the mask CD around ~150 nm (@1x), leading to a MEEF < 0.1 for resist CDs near 100 nm. The behavior of resist CDs for lines in ~300 nm pitch line-space arrays was entirely different. The resist CDs correlated closely with the mask CDs until the spaces between the dark lines became too small to print. The result was a correlated MEEF (i.e. a MEEF in which all features grow or shrink together, keeping pitch constant) of ~0.8 for resist CDs between 75 nm and 160 nm. Such a value is close enough to unity to allow OPC programs to correct for residual interactions. However, fully exploiting this linearity requires placing features in well-defined arrays, at least on the alt-PSM used in a two-exposure trim-mask system. Figure 7. The proximity effect for 100 nm nominal lines of various pitch on the SCAA mask. The densest line-space patterns printed the smallest lines for 320 J/m 2 exposure even at NA=0.63.

The optical proximity effect, however, continues to affect imaging with the SCAA mask. Figure 7 shows the measured resist CDs for 100 nm geometrical (1x) mask features with various pitches at 320J/m2. Clearly, the densest line space pattern here prints the finest features, with a >40% shift between 300 nm and 500 nm pitch. Printing equally narrow lines in the many environments characteristic of a real chip might prove rather difficult. However, designs are possible in which all the fine lines are in semi-dense arrays on the PSM and the unwanted features are erased using the trim mask. Such designs would not require extensive optical proximity correction. In the case of isolated lines, the printed linewidth depends on the width of the transparent window on either side of the mask feature.10 With proper design, there may be little need to print unwanted assist features.

Phase Phirst!

If the phase-shifted features need to be in regular arrays for imaging reasons, the optimum phase patterns can be pre-imprinted on generic SCAA mask substrates, greatly simplifying the PSM fabrication process. Such ready-to-write SCAAM blanks are at the core of the Phase Phirst! PSM paradigm, as illustrated in Figure 9. In this scheme, the mask house would hold a variety of Phase Phirst! substrates in inventory and when a Phase-Phirst job came in, the appropriate plate would be taken out and the chrome openings written using conventional technology. The mask maker would do one write, one development, one etch, one inspection

The wide variety of test patterns on the first SCAA mask permitted the analysis of linearity and the mask error enhancement factor (MEEF) shown in Figure 8.8 As expected, the resist CD for the isolated line became

Figure 8. Linearity and correlated MEEF for isolated and grouped lines

Figure 9. The three steps needed to fabricate a SCAA mask using a

with pitch near 300 nm at 320 J/m 2 exposure.

ready-to-write Phase Phirst! substrate.

40

Summer 2002

Yield Management Solutions


S

P

E

C

Figure 10. The same circuit cell can be printed with the same transmission windows and trim-mask using any of several substrate phase patterns in the Phase Phirst! PSM paradigm.

and (possibly) one repair. The overall process flow shown in Figure 9 would be nearly identical to that for a COG mask. Turn-around time should be three days or less, as for COG plates today. A trim-mask would be written at the same time to complete the dual exposure darkfield mask set. The cost to the customer should be similar to that of two COG masks!4 The key question is whether designers would be willing to place small circuit features at the designated phaseshift locations across an entire reticle. Current designs do not conform to any such restriction, and thus simply shrinking current layouts is not compatible with Phase Phirst! Neither is post-processing an existing design; circuit elements will have to be moved to conform to the phase pattern, and that is not possible during tapeout. On the other hand, current designs can be made compatible with a variety of phase patterns as shown in Figure 10. Larger features—which would not require phase-shift to print properly—would be placed randomly as required on a trim mask. The second exposure using that COG or attenuated PSM would create the connection pattern allowing the chip to function. In the fab, this Phase Phirst! Paradigm would resemble the darkfield dual exposure PSM lithography methods that are already being applied.12, 13 Figure 10 shows how the same circuit cell can be made using various phase patterns. The

I

A

L

F

O

C

U

S

inverse is also true—a single substrate phase pattern can produce many different circuit structures with different chrome openings and blockout masks. It seems likely that a small number of phase patterns will prove adequate for 90% of ASIC devices. The most feasible starting point may be a simple phase stripe pattern with all gates oriented in the same direction and spaced on a 250 nm center-to-center grid. The circuits designed for such substrates may also be patterned successfully (but perhaps more expensively) using dipole illumination, and attenuated-PSMs! The table suggests optimum phase-step spacings (feature pitches) for various tool parameters. Since the exposure tools will demagnify by 4x or 5x, the substrate features will be 1000 nm today (and 240 nm in 2007), easily fabricated with today’s optical tools.

Circuit density may be another concern. While it might appear that a rigid coarse-grid rule would require circuit features to be spaced further from one another than in a less constrained design, Figure 11 shows that this may not be the case. On the left appears an SEM of the gate layer of an existing microprocessor design, which has been post processed for PSM. Most fine features are already placed properly on the Phase Phirst! grid lines, but two are not. The center and right pictures show that this SEM can be modified using Powerpoint to place all the gates on the grid in two different ways. In one case, the density does decrease, but only by a few percent. In the other example, the density increases as the disciplined design eliminates apparently wasted space. Of course, strong PSM allows considerable circuit shrinkage in any case. Further partnerships with the

Wavelength

Numerical Aperture Phase Step Spacing Circuit Half-Pitch

248 nm

0.60-0.68

250 nm

125 nm

248 nm

0.73-0.80

200 nm

100 nm

193 nm

0.75-0.78

150 nm

75 nm

157 nm

0.78-0.85

120 nm

60 nm

Table. Optimum Phase Phirst! substrate phase-edge spacings for different exposure wavelengths and projection lens NAs along with the resulting minimum circuit half pitches for ASIC devices. The wafer-scale pitch for the phase step pattern is twice the indicated spacing and the mask dimension would be 4 or 5 times larger, depending on demagnification.

Summer 2002

Yield Management Solutions

41


S

P

E

C

I

A

L

F

O

C

U

S

Figure 11. Image-processing an SEM of an existing chip to eliminate off-grid gates and conform to Phase Phirst! layout rules may allow for increased circuit density.

design community need to be carried out to test whether the Phase Phirst! design paradigm is feasible for an entire chip and whether density increases or decreases.

field PSM will remain covered by the opaque film of chrome, it should be possible to use the defect database to match substrate and chip design so that no transparent windows are written in defective areas.

Substrate and reticle manufacturing

The process flow in Figure 12 requires specialized capital equipment—such as a stepper equipped to handle six inch square substrates and avoid stitching errors. Recovering the capital investment requires mass production of identical substrates. That gives rise to a chicken and egg problem: Which comes first, the

Phase Phirst! substrate production is a new business, best undertaken by manufacturers of reticle blanks. The optimum process flow appears in Figure 12. The Phase Phirst! blanks begin as fused silica plates as do conventional COG substrates, but a new high-tech topography creation/inspection process is inserted after the final polishing step and before chrome coating.2 The proven way to make this topography is to spin on a resist film, pattern it in a stepper or (1x scanner) using a photomask that defines the phase pattern and then etch the silica substrate to the prescribed depth. Alternatively, interferometric lithography could be used to define a simple phase stripe pattern.14 A spin-on or CVD silica layer—which etches more rapidly than the substrate—can be applied to the polished surface prior to lithography to improve phase-shift accuracy.15 The surface topography would then be inspected to insure pattern fidelity and the absence of killer defects. Reject plates would be polished flat and re-used. However, if a substrate was perfect except for a few isolated anomalies, the locations of these phase-defects could be recorded in a database and the plate coated with chrome and resist, inspected and shipped. Since most of the dark42

Summer 2002

Yield Management Solutions

Figure 12. Process flow for fabricating Phase Phirst! substrates. A defect database may lower costs by permitting the use of less than perfect substrates.


S

chip designs that demand Phase Phirst! substrates, or the economical substrates that can make those designs worth the effort? The reticle industry has not yet realized the economies of scale that have allowed the semiconductor industry to reduce prices consistently for 30 years. The result has been a dramatic increase in both the cost of production and the sales price of advanced reticles. As we move into the sub-wavelength era, designers, reticle suppliers and fab operators must transcend old practices and seek more efficient methods. By allowing simplified OPC, higher fab yields and lower reticle cost based on the mass production of identical, defect free phase patterns, Phase Phirst! could be part of the answer. However, Phase Phirst! is economically unfeasible for low volume mask production. Figure 13 shows the estimated sales price for strongPSMs produced in different ways as a function of the production volume. The conventional alternating PSM processes (with separate write and etch steps for the custom-designed phase and chrome layers) do not exhibit economies of scale. They do not require specialized apparatus, but there is a firm lower limit for the reticles produced by such processes, independent of volume, estimated here as $35,000 per plate. Low yields may increase the price of production-quality PSMs well above that limit, at least until the processes mature. SCAA masks can be produced using Phase Phirst! with three different exposure tools: a 5x i-line stepper, a 1x

P

E

C

I

A

L

F

O

C

U

S

projection aligner or an interferometric lithography system. The stepper is most expensive to install, but its master reticles are relatively inexpensive. In contrast, the capital cost of a refurbished aligner is low, but its master reticles would be quite costly, reducing the economies of scale. The interferometric lithography tool would also be inexpensive and would require no reticles, but it could only pattern simple linear phase arrays. Its total cost would be similar to the case of the aligner with 1-3 phase designs. Figure 13 also assumes dedicated etch and inspection tools that are the same in each case and that all other costs are comparable to COG mask making. Figure 13 shows clearly the unfeasibly high prices required for PSMs manufactured using Phase Phirst! when the volumes are less than about 30 substrates. These high initial expenditures constitute a serious impediment to the implementation of this system. However, when the capital costs and learning can be amortized over 100-300 reticles, the Phase Phirst! cost falls below the minimum possible with custom phaselayer production. At even higher volumes, the fixed costs become unimportant and the SCAA mask manufacturing cost approaches that of a COG mask. However, since SCAA masks have low MEEF (see Figure 8), CD control and chrome layer defectivity may be less challenging than for corresponding COG masks. We estimate that the Phase Phirst! production costs will become asymptotic to that of the previous generation COG masks for volumes >10,000 plates per year. There are other mask-making economics issues: Roughly 700,000 reticles are made each year, but today <1% are for half pitches <150 nm where Phase Phirst! would be useful. That is a total of 7,000 masks. If all of them were made on Phase Phirst! substrates, the total world-wide demand could be fulfilled by running one stepper at 20 wph for less than 8 hours per week. Unfortunately, there would be little other work for that $7 million tool specially equipped to print six inch square mask substrates! Phase Phirst! is probably too expensive to implement at a single company with limited (<700/yr) PSM volume requirements. The economics would work best if the Phase Phirst! design and production facilities were available to the entire ASIC/SOC industry. Conclusions

Figure 13. The relationship between price and production volume for conventional strong-PSMs and Phase Phirst! substrates printed with various technologies using different numbers of master reticles.

These extensive CD SEM measurements—made possible by the KLA-TENCOR 8250—demonstrate that SCAAM technology largely eliminates the anomalies (such as space width alternation) that afflict common Summer 2002

Yield Management Solutions

43


S

P

E

C

I

A

L

F

O

C

U

S

alt-PSM structures. The optical advantages predicted for alt-PSMs by basic theory have been achieved and the design and OPC tasks simplified. The SCAA mask structure also facilitates chrome repair and literally buries many types of phase defects. SCAA masks are also more stable physically than alt-PSM structures in which the quartz walls are undercut beneath the chrome edges to minimize the trench walls effects, and can be inspected easily using top-down SEM technology.10 These next generation PSMs can be built, and make KrF lithography feasible for half-pitches corresponding to k1=0.28 and resist linewidths down to 75 nm. Resist and process trimming techniques could push the final CD to 30 nm and below, with acceptable CD variation. Future work with higher NA and finer image pitches should demonstrate similar process windows for sub100 nm half pitches and 60 nm linewidths, and even less at 193 nm. The SCAAM may prove the most viable alt-PSM structure for the 100 nm node. Multiple resist processes with SCAA masks having line to space ratios of 1:3 and a pitch near 280 nm may even print 70 nm equal line-space patterns if the overlay control and resist process are good enough to place the narrow (70 nm) dark line of the second exposure exactly in the middle of the wide (210 nm) bright space of the first. The Phase Phirst! system is economically superior to other advanced lithography schemes when standard pre-patterned substrates can be mass produced using wafer fab techniques.2,4 A small number of predefined phase grids will be sufficient for the vast majority of high-speed ASIC designs, but numerous designers must adopt this system if the economies of scale are to be realized. Arbitrary interconnections are possible when the SCAAM is made using the Phase Phirst! substrate along with a conventional or attenuated phase-shift trim mask in a two-exposure lithography scheme. Successful implementation of this PSM paradigm will require increased R&D participation by potential users. Acknowledgements

Too many individuals and companies have contributed to this work to acknowledge them all here by name. However, the authors wish to especially thank Naoya Hayashi, Yasutaka Morikawa and Haruo Kokubo of Dai Nippon Printing Co. for making the test mask. Phase Phirst! is a trademark of M. D. Levenson Consulting. This paper is based on material originally intended for presentation at Interface 2001.

44

Summer 2002

Yield Management Solutions

References 1. M. Fritze, B. Tyrrell, D. Astolfi, D. Yost, P. Davis, B. Wheeler, R. Mallen, J. Jarmolowicz, S. Cann, H.Y. Liu, M. Ma, D. Chan, P. Rhyins, C. Carney, J. Ferri, B.A. Blachowicz, "100-nm Node Lithography With KrF ?" SPIE Vol. 4346-21 (2001). 2. M.D. Levenson, J.S. Peterson, D.G. Gerold and Chris A. Mack, "Phase Phirst! An Improved Strong-PSM Paradigm", SPIE Vol. 4186, 395-403 (2000). 3. K. Early and W.H. Arnold, "Cost of Ownership for Soft-Xray Projection Lithography," SPIE Vol. 2087, 340-349 (1993) 4. M.D. Levenson, T.J. Ebihara, M. Yamachika, "SCAA mask exposures and Phase Phirst! design for 110nm and below", SPIE Vol. 4346-183 (2001). 5. J.S. Petersen, D.G. Gerold, M.D. Levenson, "Multiple Pitch Transmission and Phase Analysis of Six Types of Strong Phase-Shifting Masks," SPIE Vol. 4346-72 (2001) 6. K. Adam and A. Neureuther, "Cross-Talk Modeling in Deep Trench Alt. PSM Strategies," Ultratech Advanced Reticle Symposium, http://www.ultratech.com/about/presentations/K.Adam.PDF (2001). 7. Y. Morikawa, H. Kokubo, M. Nishiguchi, M. Nara, M. Hoga, and N. Hayashi, "Feasibility Study of Manufacturing Process and Quality Control of the New Alternating PSM Structure," SPIE Proc. 4562-129 (October 2001). 8. T. Ebihara and M. D. Levenson, "Exposing the SCAAM – Perfect 70 nm Images with 248 nm Light," Ultratech Advanced Reticle Symposium, http://www.ultratech.com/ about/presentations/T.Ebihara.PDF (2001). 9. J.S. Petersen, et al., "Designing dual-trench alternating phase-shift masks for 140 nm and smaller using 248nm KrF and 193nm ArF Lithography," SPIE Vol.. 3412, 503-520 (1998). 10. S. Desai, T. Ebihara, M. D. Levenson, S. White, Automated SEM Metrology of Wafers Printed Using a SCAA Mask, SPIE Proc. 4689-107 (March 2002). 11. Patent Number US 6,251,549 B1, June 26, 2001 also US 6,287,732, Sept. 11, 2001. 12. Michael E. Kling, "Phase-shifting masks come of age," Microlithography World 9, 4-8 (Summer 1999). 13. Christopher Spence, Marina Plat, Emile Sahouria, Nick Cobb and Frank Schellenberg, "Integration of optical proximity correction strategies in strong phase shifter design for poly-gate layers," SPIE Proc. 3873, 277-287 (1999). 14. S.H. Zaidi, S.R.S. Brueck, F.M. Schellenberg, R. S. Mackay, K. Uekert and J.J. Persoff, "Interferometric Lithography Exposure Tool for 180-nm Structures," SPIE Vol. 3048, 248-254 (1997). 15. Naoyuki Ishiwata, Takema Kobayashi, Tomohiro Yamamato, Hideaki Hasegawa and Satoru Asai, "Fabrication process of alternating phase shift mask for practical use," SPIE Vol. 4066-17 (2000). 16. Marc D. Levenson, Takeaki (Joe) Ebihara, Sunil Desai and Sylvia White "Exposing the SCAAM – low cost imaging at 70nm with 248nm light," Arch Interface ‘01™ CD-ROM, Arch Chemical Corp. (2001).


Turn static files into dynamic content formats.

Create a flipbook
Issuu converts static files into: digital portfolios, online yearbooks, online catalogs, digital photo albums and more. Sign up and create your flipbook.