Компоненты и технологии
C o m p o n e n t s www.kit-e.ru
&
Te c h n o l o g i e s № 5 ’2014 (май)
ISSN 2079-6811
№ 5 ’2014
Тонкопленочные акустоэлектронные
компоненты СВЧ-диапазона
май Питание радиационно-стойких ПЛИС с применением DC/DC Microsemi
с ядром ARM Cortex-M0+ компании Atmel
Реклама
Реклама
Components & Technologies
SAMD — новая линейка МК
Сборка микросхем в России: реальность и перспективы
Реклама
Реклама
5 (154) '2014
5 (154) '2014
Содержание Рынок
Главный редактор Павел Правосудов | pavel@finestreet.ru
Ольга Ларина Компания maxon motor поможет покорить космос
Заместитель главного редактора Ольга Зайцева | olga_z@finestreet.ru
6
Выпускающий редактор Ксения Притчина | ksenia.pritchina@finestreet.ru Редактор Елена Якименко | elena.yakimenko@finestreet.ru Наталья Новикова | Natalia.Novikova@finestreet.ru Редакционная коллегия Александр Фрунзе, Иосиф Каршенбойм Светлана Муромцева, Виктор Лиференко Литературный редактор Мария Куликова Дизайн и верстка Ольга Ворченко | olga@finestreet.ru
Михаил Двоешерстов, Валентин Чередник Тонкопленочные акустоэлектронные компоненты СВЧ-диапазона Светлана Сысоева Мобильные датчики движения 2014 года. Новые вехи в истории инноваций
Отдел рекламы Ирина Миленина | irina@finestreet.ru Отдел подписки Наталия Виноградова | podpiska@finestreet.ru Москва 105120, Нижняя Сыромятническая, д. 5/7, стр. 4, оф. 218 Тел./факс: (495) 987-3720 СанктПетербург 190121, Садовая ул., 122 Тел. (812) 4381538 Факс (812) 3460665 email: compitech@finestreet.ru, web: www.finestreet.ru
Республика Беларусь «ПремьерЭлектрик» Минск, ул. Маяковского, 115, 7й этаж Тел./факс: (10*37517) 2973350, 2973362 email: murom@premierelectric.com
Отдел распространения СанктПетербург: Виктор Золотарев | victor.zolotarev@finestreet.ru Подписные индексы Каталог агентства «Роспечать» Каталог «Почта России» полугодие год Агентство KSS (тел. в Киеве (044) 2706220, 2706222)
Компоненты
80743 60194 60195 10358
Подписано в печать 11.04.14 Тираж 6000 экз. Свободная цена Журнал «Компоненты и технологии» зарегистрирован Министерством Российской Федерации по делам печати, телерадиовещания и средств массовых коммуникаций. Свидетельство о регистрации ПИ № ТУ 78-00653 от 22 июля 2010 года. Учредитель ООО «Издательство Файнстрит» Адрес редакции 121351, Москва, ул. Ивана Франко, д. 40, к. 1, стр. 2 Издатель ООО «Издательство Файнстрит» 190121, г. СанктПетербург, наб. р. Фонтанки, д. 193Б Отпечатано в типографии «Премиум Пресс» 197374, Санкт-Петербург, ул. Оптиков, 4.
Александр Симчук, Андрей Цыпленков, Олег Шуков, Николай Архипкин Рекомендуемые схемы подключения пьезоэлектрических датчиков со встроенной электроникой
8
15
Константин Верхулевский Организация питания радиационно-стойких ПЛИС с применением DC/DC-преобразователей компании Microsemi
60
Антон Гуменюк Конвейерный АЦП компании «Миландр»
66
Вячеслав Гавриков, Гузелия Сафиуллина Новая 2-Мбит EEPROM от ON Semiconductor
70
Сергей Сидоров Управление матричным преобразователем частоты в элементном базисе программируемой логики
74
Евгений Гурин Построение систем с процессором Microblaze на отладочной плате Nexys‑4 в САПР Vivado
80
Андрей Строгонов, Алексей Быстрицкий Изучение основ цифровой обработки сигналов с помощью учебного лабораторного стенда LESO2.1
86
Антон Висторовский Базовый маршрут разработки ПЛИС Altera Cyclone V SOC FPGA с аппаратной процессорной системой ARM Cortex A9 на примере стартового отладочного комплекта SoCrates и референсного дизайна EBV Elektronik. Часть 2
92
22
Светлана Сысоева Датчики магнитного поля. Ключевые технологии и новые перспективы. Часть 2. Инновационные датчики Холла для истинно 2D/3D-измерений
33
Роман Устинов Проходная панельная клемма Weidmüller OMNIMATE PGK 4 — эффективное решение в условиях ограниченного пространства
46
Вячеслав Гавриков Обзор кварцевых генераторов GEYER ELECTRONIC
50
Сергей Долгушин Начинаем работать с графическим контроллером FT800 FTDI
55
Валерий Зотов Проектирование встраиваемых микропроцессорных систем на базе расширяемых процессорных платформ семейства Zynq‑7000 AP SoC в САПР Xilinx ISE Design Suite 97
Редакция не несет ответственности за информацию, приведенную в рекламных материалах. Полное или частичное воспроизведение материалов допускается с разрешения ООО «Издательство Файнстрит». Журнал включен в Российский индекс научного цитирования (РИНЦ). На сайте Научной электронной библиотеки eLIBRARY.RU (www.elibrary.ru) доступны полные тексты статей. Статьи из номеров журнала текущего года предоставляются на платной основе.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
5 (154) '2014
5 (154) '2014
Editorinchief Pavel Pravosudov | pavel@finestreet.ru Deputy of editorinchief Olga Zaytseva | olga_z@finestreet.ru Managing editor Ksenia Pritchina | ksenia.pritchina@finestreet.ru Editor Elena Yakimenko | elena.yakimenko@finestreet.ru Natalia Novikova | Natalia.Novikova@finestreet.ru Editorial staff Alexander Frunze Svetlana Muromtseva Victor Liferenko Joseph Karshenbojm Literary editor Maria Kulikova Design and layout Olga Vorchenko | olga@finestreet.ru Advertising department Irina Milenina | irina@finestreet.ru Subscription department Natalia Vinogradova | podpiska@finestreet.ru Moscow Of. 218, 1 korp., 4, 5/7 Nizhnjaja Syromjatnicheskaja, 105120, Russia Tel. +7 (495) 987-3720 St. Petersburg 190121, Russia, Sadovaya str., 122 Tel. (812) 4381538 Fax (812) 3460665 email: compitech@finestreet.ru web: www.finestreet.ru
Belarus Republic Minsk, Premier Electric Tel./fax: (10*37517) 2973350, 2973362 email: murom@premierelectric.com
Circulation department St. Petersburg: Victor Zolotarev | victor.zolotarev@finestreet.ru Subscription index for Components & Technologies Rospetchat Agency catalogue subscription index 80743 KSS agency Tel. in Kiev: 0442706220, 2706222 subscription index 10358
Содержание Дмитрий Сазанов SAMD — новая линейка микроконтроллеров с ядром ARM Cortex-M0+ компании Atmel
111
Колин Дагган (Colin Duggan), Дэнис Лабрек (Denis Labrecque) Интеллектуальная интеграция: совмещение аналоговых компонентов с ядрами микроконтроллера ARM для преодоления трудностей при проектировании встраиваемых систем 118 Дмитрий Иоффе, Андрей Максимов Разработка проекта микроконтроллера 8051s на основе IP-ядер корпорации Microsemi. Часть 3. Первая программа для микроконтроллера
124
Силовая электроника Алексей Попов, Сергей Попов Силовые модули Vishay и их применение
134
Стив Нот (Steve Knoth) Простое решение проблемы зарядки аккумуляторов в высоковольтной и сильноточной системе
140
Пректирование Владимир Рентюк Малошумящие усилители для удаленных сенсоров Макс Максфилд (Max Maxfield) Перевод: Александр Акулин TimingVision: новая технология Cadence, ускоряющая проектирование быстрых интерфейсов на печатных платах
144
150
Татьяна Колесникова Работа с виртуальными приборами в программной среде NI Circuit Design Suite — Multisim 12.0. Часть 5
153
Виктор Сафронов Асинхронные конечные автоматы в импульсных источниках питания
160
Владимир Дьяконов MATLAB 8.0 (R2012b): работа с пакетом расширения Communications System Toolbox
166
Олег Соколов Моделирование и исследование блоков корректирующего кода в среде MicroCap 9
178
Технологии Сергей Беляков Сборка микросхем в России: реальность и перспективы
185
Виктор Черных, Андрей Хохлун, Ёжи Штупар, Сергей Чигиринский Методы оформления отверстий в «сырых» LTCC и НТСС керамических картах
188
Сергей Корнеев Электронные токовые шунты для измерений с высокой точностью
192
Том Хоппин (Tom Hoppin) Корреляция результатов СВЧ-измерений, выполненных с помощью ручного и настольного анализаторов
196
Владимир Дьяконов Осциллографы высокой точности Teledyne LeCroy HDO4000/6000: путешествие по просторам времени
200
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
рынок
6
Компания maxon motor поможет покорить космос
Ольга Ларина
Н
Компании, заинтересованные в высококвалифицированных специалистах, принимают активное участие в их подготовке. В этом году исполняется 10 лет деятельности на российском рынке maxon motor. Компания отметила этот юбилей по-особому: открыла свой второй учебный центр в стране — при МГТУ им. Н. Э. Баумана, в здании НУЦ «Робототехника».
а торжественной церемонии открытия центра с приветствием к собравшимся обратились CEO maxon motor Юджин Элмигер (Eugen Elmiger) и генеральный директор компании «Авитон» Андрей Сапончик. Они поздравили maxon motor с 10‑летием ее деятельности в России и выразили свою радость по поводу возможности работать с настоящими профессионалами в своей области. Директор НУЦ «Робототехника» А. А. Максимов провел краткий экскурс в историю. Согласно заветам основателя, академика Е. П. Попова, в центре студенты одновременно обучаются и находят интересные темы для разработок, в которых нередко применяется продукция maxon motor. Созданные на ее основе многофункциональный транспортный робот, мобильные внутрицеховые транспортные роботы и универсальный робототехнический робот «Раптор» предназначены для перемещения грузов различных
масс и габаритов. Многоцелевые робототехнические комплексы «Пластун-В», «Корсак» и «Манул» созданы для работы в сложных условиях и зонах разрушений. Десятилетие maxon motor на нашем рынке — это десятилетие сотрудничества с компанией «Авитон», которая с 2004 года является официальным представителем maxon motor в России. За время продолжительного сотрудничества этих компаний были успешно реализованы сотни сложнейших технических проектов, сложились тесные деловые связи. Несмотря на широкий ассортимент каталожных позиций продукции maxon motor, большая доля программы поставок — это специализированные доработанные решения. В связи с этим важной составляющей в успешной реализации проекта является тесное взаимодействие производителя, дистрибьютора и конечного заказчика, что помогает получить конечному покупателю в России решение, максимально адаптированное под его требования. В настоящее время компания maxon motor поставила более 48 000 изделий для подводных, подземных, небесных и космических аппаратов. В Москве и Санкт-Петербурге находятся команды специалистов, готовых оказать техническую поддержку, однако при необходимости можно обратиться и к инженерам из Швейцарии. Заместитель заведующего по учебной работе кафедры «Робототехнические системы» (РК‑10) МГТУ им. Н. Э. Баумана А. В. Польский рассказал о применении продукции maxon motor в учебном процессе. В учебном центре есть универсальные лабораторные стенды. К ним прилагается программное обеспечение EPOS Studio, которое позволяет автоматически настраивать контуры регулирования тока, скорости и положения с графическим отображением переходных процессов. Здесь же, на кафедре, два студента четвертого курса разработали на С++ собственное приложение Load Simulation. Эти стенды и ПО используются при преподавании пяти различных курсов. Заведующий кафедрой «Мехатроника и робототехника» БГТУ «Военмех» Ю. В. Загашвили сообщил о функционирующем c 2008 года учебном центре в Санкт-Петербурге. В нем проводятся лабораторные работы, выполняются курсовые и дипломные, осуществляется обучение работе в программе EPOS Studio. Представители НПО «Андроидная техника» прочли доклад о применении двигателей maxon motor в проектировании и создании антропоморфных систем. Были разработаны мобильный информационносервисный робот SR‑200, СРТС «Рысь», полноразмерные антропоморфные роботы AR‑600 и AR‑600E, гуманоидные помощники космонавтов на МКС, роботы-спасатели, роботы-саперы, а также протез локтевого сустава, универсальные антропоморфные захваты, экзоскелет и другие робототехнические системы. Робот-космонавт второго поколения уже прошел испытания в Центре подготовки им. Ю. А. Гагарина, и есть надежда, что робот третьего поколения полетит на МКС. Для манипулирования обычными «человеческими» инструментами была разработана специальная пятипалая кисть на одиннадцати моторах. Специалисты НПО говорят, что двигателей более высокого качества, чем продукция
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
рынок
maxon, им найти не удалось. Механически существующие роботы уже вполне совершенны. Основные сдерживающие факторы их развития на данный момент — несовершенство искусственных интеллектуальных систем и проблемы с питанием: мобильные роботы пока способны работать автономно не дольше одного часа. К. т. н. Е. В. Письменная, с. н. с. НИИ механики МГУ, рассказала о применении продук-
новости
ции maxon motor в производстве экзоскелетов и мобильных роботов. Для передвижения этих устройств и поддержания равновесия характеристики моторов должны быть как можно более постоянными. Поэтому группа разработчиков МГУ остановилась на двигателях maxon motor и редукторах Harmonic Drive. Специалисты НИИ механики МГУ обладают большим опытом проектирования мобильной робототехники. Ими был создан первый
7
в мире мобильный автономный шагающий аппарат (МАША). На основе двигателей maxon motor команда НИИ механики планирует продолжить разработку и создание экзоскелетов. Есть проекты как для использования в экстремальных условиях, так и для реабилитации людей с ограниченной подвижностью. Деловая программа завершилась сеансом связи по Skype с Рафаэлем Хостетлером (Rafael Hostettler) из Швейцарии. Он рассказал о проекте Roboy — антропоморфном роботе-мальчике, в его разработке участвовали множество специалистов и компаний со всего мира. Робот создан при серьезном техническом участии компании maxon. Проект направлен на визуализацию технологий и демонстрацию возможностей современной робототехники. Далее состоялось торжественное открытие учебного центра, сопровождающееся демонстрацией работы учебных стендов. n
рынок
«ЭЛТЕХ» — официальный дистрибьютор компании ADL Embedded Solutions Компания «ЭЛТЕХ» получила статус официального дистрибьютора компании ADL Embedded Solutions. Теперь продукция ADL Embedded Solutions будет поставляться со склада компании «ЭЛТЕХ» и сопровождаться технической поддержкой. ADL Embedded Solutions является ведущим поставщиком высокопроизводительных встраиваемых систем для работы в расширенном темКОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
пературном диапазоне и при жестких условиях эксплуатации. Такие системы применяются, как правило, в тех разработках, которые рассчитаны на работу в уличных условиях и при отрицательной температуре. Продукция соответствует ISO 9001. Это одноплатные высоконадежные компьютеры в стандарте PC/104 с возможностью подключения различных модулей расширения, а также в формфакторе 3,5″.
Доступна вся номенклатура следующих промышленных стандартов: • PC/104; • PC/104‑Plus; • PCI‑104; • PCI/104‑Express; • PCIe/104; • 3,5″ одноплатные компьютеры. www.eltech.spb.ru
www.kite.ru
компоненты
8
ВЧ/СВЧ-элементы
Тонкопленочные акустоэлектронные компоненты СВЧ-диапазона Михаил Двоешерстов, к. ф.-м. н. Dvoesh1@mail.ru Валентин Чередник, к. ф.-м. н.
Введение Современная твердотельная электроника является основой, определяющей развитие всех перспективных направлений в области радиоэлектронной техники, приборостроения, медицины, экологии и многих других применений. Акустоэлектроника — область микроэлектроники, использующая акустические волны в твердых средах для обработки электрических сигналов в диапазоне сверхвысоких частот. Основные достоинства акустоэлектронных устройств — чрезвычайная миниатюрность и прекрасная совместимость с существующими интегральными схемами самого разного назначения. Акустоэлектронные устройства — это базовые элементы всех систем мобильной и сотовой связи, навигации, радиолокации, мониторинга, робототехники, сенсорики. Акустоэлектронные резонаторы и фильтры позволяют обеспечить обработку сложных сигналов в реальном масштабе времени при высокой чувствительности, широком динамическом диапазоне и высокой помехозащищенности. Кроме того, акустоэлектронные устройства нашли широкое применение в микросистемной технике для создания на их основе микроминиатюрных акустоэлектронных сенсоров различного назначения: сенсоры физических величин (температуры, давления, деформации, ускорения, напряжения и т. д.), газовые сенсоры, анализаторы жидкости, биосенсоры и многое другое. В диапазоне частот от десятков мегагерц до 2–3 ГГц подавляющее большинство акустоэлектронных устройств реализуется на основе ПАВ‑технологий. В данном случае в качестве рабочей акустической волны в устройствах служит поверхностная акустическая волна (ПАВ), распространяющаяся вдоль поверхности объемного пьезокристалла. Амплитуда колебаний такой волны затухает до нуля вглубь поверхности пьезокристалла на рас-
В связи с появлением современных технологий, позволяющих выращивать тонкопленочные пьезоматериалы, открываются принципиально новые возможности значительного повышения рабочей частоты акустоэлектронных устройств. В статье рассмотрены различные типы и конструкции СВЧ-акустоэлектронных тонкопленочных резонаторов на основе нитрида алюминия, работающие в диапазоне частот 2–30 ГГц.
стоянии порядка длины акустической волны l. Для устройств, использующих поверхностную акустическую волну (ПАВ), характерным размером, определяющим рабочую частоту, является пространственный период встречно-штыревой системы (ВШС) электродов, формирующей волну:
Р = l/2, где l — длина акустической волны. Технологические ограничения фотолитографического процесса формирования ВШП не позволяют уменьшить ее период до величины менее чем примерно 0,45 мкм. При скорости поверхностной акустической волны порядка 3000 м/с это соответствует рабочей частоте около 3 ГГц. В этом случае несколько повысить рабочую частоту удается за счет применения псевдоповерхностной акустической волны, чья скорость выше, чем скорость ПАВ (4000– 5000 м/с). Кардинальное увеличение рабочей частоты устройств на ПАВ выше обусловленного технологией предела невозможно. Принципиально новые возможности существенного повышения рабочей частоты акустоэлектронных устройств открываются в связи с появлением новых технологий, благодаря которым теперь выращивают тонкопленочные материалы толщиной от 0,15 до 2 мкм, со структурой анизотропного кристалла с пьезоэлектрическими свойствами. В частности, таковыми свойствами обладает пленка нитрида алюминия AlN. К данным технологиям прежде всего относятся: • молекулярно-лучевая эпитаксия МЛЭ в сверхвысоком вакууме (MBE); • химическое осаждение из паровой фазы с использованием металлорганических соединений в низком вакууме (MOCVD); • термическое напыление в среднем вакууме (ТН); • электронно-лучевое испарение в среднем вакууме (ЭЛИ);
• импульсное лазерное напыление (ИЛН); • ионно-плазменное напыление (ИПН) в среднем вакууме (в том числе и метод магнетронного ВЧ-распыления (ММР)). При этом каждая технология имеет как свои достоинства, так и недостатки. Например, методы МЛЭ, MOCVD позволяют выращивать практически монокристаллические пленки AlN и могут быть использованы при конструировании FBAR-систем в диапазоне частот 2–30 ГГц [1–4]. Поскольку метод ММР наиболее дешевый, в настоящее время он применяется за рубежом в коммерческих целях при производстве входных полосовых фильтров (дуплексоров) для сотовой и мобильной связи в диапазоне частот 1,5–2 ГГц. Самая простая конструкция тонкопленочного акустоэлектронного СВЧ-резонатора состоит из двух электродов, между которыми находится тонкий пьезокристаллический слой. Центральная частота резонатора определяется толщиной пьезослоя и скоростью акустической волны. В первом приближении центральная рабочая частота тонкопленочного резонатора оценивается из простейшего соотношения:
F = V/2h, где V — скорость продольной объемной акустической волны (ПОАВ) вдоль направления, перпендикулярного поверхности пьезоактивного слоя из (0001) AlN, h — толщина слоя. Скорость продольной объемной волны в нитриде алюминия достигает величины около 11 км/с, что при толщине пленки в 0,2 мкм соответствует частоте 28 ГГц. Необходимо отметить, что свой понижающий частоту вклад вносит конечная толщина электродов, но даже с учетом этого обстоятельства рабочая частота тонкопленочного резонатора на объемных волнах (английская аббревиатура FBAR — film bulk acoustic wave resonator) может достигать величины 30 ГГц, что совершенно недостижимо для устройств на ПАВ.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ВЧ/СВЧ-элементы
компоненты
9
Типы и конструкции тонкопленочных акустоэлектронных СВЧ-резонаторов
Рис. 1. Диапазоны рабочих частот FBAR-систем в зависимости от толщины пьезоактивной пленки AlN и толщин металлических электродов из Ru
В качестве примера на рис. 1 показаны диапазоны рабочих частот (C‑Band, X‑Band, Ku-Band, K‑Band, Ka-Band) тонкопленочных FBAR-систем в зависимости от толщины пьезоактивной пленки AlN и толщин металлических электродов из рутения Ru [5]. На рисунке видно, что для реализации СВЧдиапазона рабочих частот вплоть до 30 ГГц необходимо использовать очень тонкие пленки как нитрида алюминия, так и электродов резонатора.
В качестве основных типов и конструкций тонкопленочных акустоэлектронных СВЧрезонаторов можно назвать следующие: многочастотные резонаторы (HBAR, High overtone Bulk Acoustic Resonators, рис. 2) и одночастотные резонаторы (рис. 3). Одночастотные резонаторы следует, в свою очередь, разделить на следующие разновидности: • резонаторы мембранного типа на объемных продольных акустических волнах (FBAR, film bulk acoustic wave resonator, рис. 3а, б); • резонаторы с акустическим отражателем (SMR BAW, solidly mounted resonator bulk acoustic wave, рис. 3в), работающие на объемных продольных акустических волнах; • резонаторы, работающие на сдвиговых объемных акустических волнах (LFE FBAR, lateral field excitation film bulk acoustic wave resonator, рис. 3г); • резонаторы, работающие на волнах Лэмба (рис. 3д). Рассмотрим подробнее основные типы существующих конструкций тонкопленочных акустоэлектронных СВЧ-резонаторов.
Рис. 2. Многочастотный резонатор (HBAR)
Многочастотные резонаторы Данный тип акустического резонатора на ПОАВ схематически показан на рис. 2 (HBAR, high overtone bulk acoustic resonator). Он содержит подложку-звукопровод толщиной несколько сотен микрон, на поверхности которой размещен тонкопленочный пьезопреобразователь из AlN (ZnO) с микронной толщиной и двух металлических сплошных электродов. Подложка-звукопровод изготавливается из монокристаллов с рекордно малым акустическим поглощением (сапфир, алюмомагниевая шпинель (АМГ), танталат либо ниобат лития, иттрий алюминиевый гранат (ИАГ). Оптически отполированные поверхности подложки должны быть строго параллельны. Пьезоэлектрическая пленка обеспечивает электрическую связь с внешней цепью.
а
в
б
г д
Рис. 3. Резонаторы: а, б) мембранного типа: а) со сквозным отверстием в подложке; б) с воздушной прослойкой; в) с акустическим отражателем; г) на сдвиговой объемной волне; д) на волне Лэмба
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
www.kite.ru
10
компоненты
ВЧ/СВЧ-элементы
Рис. 4. Частотный спектр резонатора при толщине слоя сапфира 50 мкм, толщине пьезослоя AlN = 1 мкм, толщине электродов из Al 0,1 мкм
Между плоскопараллельными поверхностями, ограничивающими резонатор, укладываются сотни акустических полуволн. Пьезопленка может возбуждаться на гармониках, лежащих в широкой полосе частот. Колебательная энергия в резонаторе накапливается в основном в подложке и его добротность определяется акустическими потерями в материале подложки, поэтому HBAR-резонаторы имеют очень большие добротности. Например, иттрий алюминиевый гранат (ИАГ) имеет акустическую добротность 50 000. Толщина пьезопреобразователя рассчитывается по формуле:
h = nl/2, при n = 1, 2, 3…, где l — длина акустической волны в пьезослое. При этом максимальная эффективность преобразователя при h = l/2. Полоса резонансных частот имеет достаточно широкий предел, а их скважность зависит от толщины подложки. Обычно полоса рабочих частот таких резонаторов находится в пределах Df/f = 0,01–0,2, диапазон частот 1–20 ГГц. Добротности HBAR-резонаторов могут иметь значения больше 20 000–50 000. На рис. 4 показан частотный спектр HBARрезонатора с подложкой-звукопроводом из сапфира. Одночастотные резонаторы На рисунках 3а–в показаны конструкции одночастотных тонкопленочных резонаторов, работающих на продольной объемной акустической волне. На рис. 3a, б изображены два варианта резонатора мембранного типа, на рис. 3в — конструкция резонатора с акустическим отражателем. При разработке SMR BAW-резонатора обычно используется акустический отражатель Брэгговского типа [6, 7]. Брэгговский отражатель обеспечивает эффективную локализацию продольной моды колебаний в материале пьезоактивного слоя и представляет собой многослойную периодическую структуру, состоящую из n пар слоев материалов, имеющих существенно различную величину акустического импеданса:
Рис. 5. Реальная (Re) и мнимая (Im) часть проводимости резонатора
Zа = rV (на единицу поверхности), где r — плотность материала слоя; V — скорость продольной объемной акустической волны в слое; и толщину каждого слоя:
l/4, где l — длина ПОАВ в материале слоя. Если толщина слоя составляет четверть длины волны, фаза отраженной волны отличается от фазы падающей волны на 180° после отражения от нижней поверхности первого слоя Брэгговского отражателя. Интерференция этих двух волн (падающей и отраженной) делает первый Брэгговский слой, а затем каждый последующий слой, аналогичным зеркалу, отражающему акустическую энергию обратно в резонатор. Стек Брэгговского отражателя работает, отражая некоторую часть акустической энергии продольных колебаний обратно в резонатор на каждой границе раздела слоев. Чем сильнее отличаются акустические свойства слоев пары, тем эффективнее происходит отражение на границах слоев и тем меньшее количество пар слоев может быть в отражателе. В общем случае центральная частота резонатора F определяется не только толщиной слоя AlN, но и толщиной и типом материала верхнего и нижнего электрода (Al, Ti, Mo, Ni, W, Au и т. д.) и может быть определена с помощью модифицированной теории Новотного и Бенеша [8–10]. Эта теория основана на строгом решении уравнений, описывающих волновые процессы в многослойной структуре, составляющей резонатор, с использованием корректных граничных условий на всех границах всех слоев и позволяет рассчитывать резонатор, образованный произвольным количеством произвольно расположенных произвольных слоев. На рис. 5 показан типичный вид действительной (Re) и мнимой (Im) частей проводимости Y (Ом–1) одночастотного SMR BAWрезонатора, рассчитанного на центральную частоту 5 ГГц. Причем толщина верхнего Mo-электрода 0,06 мкм, слоя AlN — 0,72 мкм,
нижнего Mo-электрода — 0,07 мкм, стек Брэгговского отражателя содержит четыре пары SiO2/Mo-слоев толщиной 0,3 мкм (SiO2) и 0,33 мкм (Mo), к нижнему электроду примыкает слой SiO2, подложка — Si. Реальная часть проводимости имеет максимум на частоте последовательного резонанса fs и минимум на частоте параллельного резонанса f p. Такие же конструкции резонаторов с акустическим отражателем могут быть реализованы и в случае использования в качестве рабочей акустической волны сдвиговых объемных акустических волн. На рис. 3г показана типичная конструкция LFE FBARрезонатора, действующего на сдвиговой объемной акустической волне. Здесь электроды резонатора формируются в одной плоскости — непосредственно на поверхности пьезослоя, например AlN. При этом резонансная частота такого резонатора тоже определяется толщиной пьезослоя и электродов, а также величиной скорости сдвиговой акустической волны в пьезослое (в частности, в AlN V = 6,33 км/с). В данном случае можно использовать и Брэгговский отражатель. Отдельный тип тонкопленочных СВЧрезонаторов показан на рис. 3д [11]. Данный тип резонаторов в качестве рабочей волны использует волны Лэмба, распространяющиеся в тонкой пьезоэлектрической пластинке (толщина пластины должна быть меньше длины акустической волны). На рис. 6 представлены рассчитанные скорости волн Лэмба в пленке из (0001)AlN от относительной толщины пленки h/l. Как видно на рис. 6, нулевая симметричная мода Лэмба S0 имеет скорость 10 км/с, что в 3–4 раза выше скорости ПАВ. Принципиально резонансная частота F данного резонатора определяется не толщиной пьезопластины, а периодом встречно-штыревой системы (ВШС) электродов, как это делается в технике ПАВ (поверхностные акустические волны):
P = l/2 = V/2F, где l — длина акустической волны; V — скорость волны Лэмба.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ВЧ/СВЧ-элементы
компоненты
11
Основные параметры тонкопленочных СВЧ-резонаторов Одним из наиболее важных параметров резонаторов является показатель качества (FOM, Figure of Merit,), определяемый как [12]: 2 FOM(f) = К eff Q(f),
(1)
2 где К eff — эффективный коэффициент электромеханической связи; Q — добротность резонатора; f — частота. Чем выше величина FOM, тем качественнее считается резонатор. Величина эффективного коэффициента электромеханической связи K 2eff зависит от качества активного слоя (0001)AlN в смысле его пьезосвойств, а также от соотношения толщины электродов и пьезослоя. Этот параметр является самой большой проблемой в тонкопленочных FBAR. Вполне вероятно, что FBAR-технологии могли бы появиться гораздо раньше, если бы были доступны методы осаждения пьезоэлектрических пленок. Многочисленные более ранние попытки в разработке FBAR потерпели неудачу 2 именно потому, что коэффициент связи K eff был слишком низким и невоспроизводимым. Значение K 2eff может быть непосредственно вычислено по резонансной частоте (частота последовательного резонанса) fs и антирезонансной частоте (частота параллельного резонанса) fp [12]:
(2) Величины, достигаемые с помощью современного технологического оборудования для формирования пленок AlN, составляют K 2eff ~ 6,7% для SMR-BAW на частоте 2 ГГц. Следует отметить, что нет смысла сравнивать эту величину с опубликованными данными для монокристаллического AlN. Слои стека и, самое главное, используемых электродов оказывают сильное влияние на коэффициент связи, что не имеет никакого отношения к качеству AlN. Хотя теоретически у ZnO немного более высокий коэффициент связи, чем у AlN, он до сих пор не продемонстрировал себя как жизнеспособная альтернатива нитриду алюминия [13]. Другой известный пьезоэлектрический материал — PZT — интересен для MEMS и устройств низкой частоты, поскольку у него очень высокий коэффициент связи наряду с чрезвычайно высокой диэлектрической постоянной. В ГГц-диапазоне PZT имеет слишком высокие внутренние потери [13]. Кроме того, высокая диэлектрическая проницаемость и низкая акустическая скорость могут привести к крайне малым размерам резонаторов, акустическое поведение которых будет очень трудно контролировать.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 6. Зависимость скорости (V) первых двух симметричных и антисимметричных мод Лэмба в пленке (0001)AlN от относительной толщины пленки h/λ
Ненагруженная добротность Q есть отношение энергии, запасенной в цепи, к энергии, рассеиваемой в этой цепи за период. Уравнение (1) подчеркивает тот факт, что Q является функцией частоты и, следовательно, таковым является и FOM (K 2eff не зависит от частоты). Высокие значения добротности Q ~ 1500–2000, достигнутые в FBAR-резонаторах, стали ключевым преимуществом над ПАВ‑устройствами в диапазоне частот до 2 ГГц. Величину добротности можно рассчитать по ширине Df зависимостей проводимости Y (для частоты последовательного резонанса) или импеданса Z = 1/Y (для частоты параллельного резонанса) резонатора на половинной высоте от максимума соответствующего резонансного пика [12]:
Q = f /Df.
(3)
Наиболее точно величину добротности можно рассчитать по наклону фазовой характеристики электрического импеданса Z [12]:
из-за наличия краевых эффектов вблизи граничных зон резонатора, микрошероховатостей на поверхностях и их неидеальной параллельности. В результате часть энергии переходит в эти сдвиговые волны, что может приводить к существенному снижению общей добротности резонатора. Величины Q SMR BAW были очень плохими до тех пор, пока не удалось выяснить, что сдвиговые волны, образующиеся в качестве побочного продукта колебаний толщины, утекают через нижний отражатель [14]. До этого момента отражатели формировались из четвертьволновых слоев с высоким и низким импедансом материалов таких слоев. После внесения изменений в конструкцию отражателя, позволивших ему отражать как продольные, так и сдвиговые волны, значения Q возросли от менее 700 до более 1300 без каких-либо других дополнительных изменений. Как известно [15], количественно температурная стабильность резонатора характеризуется температурным коэффициентом частоты:
(4) (5) где j — угол наклона фазовой характеристики импеданса Z в радианах; fx — резонансная частота на последовательном fs либо параллельном fp резонансе. Традиционные объяснения механизмов ограничения добротности связаны либо с вязкими потерями в материалах и боковой утечкой акустической энергии, либо с рассеянием на шероховатостях поверхностях. Все эти механизмы потерь безусловно существуют. В работе [14] исследовался также механизм ограничения добротности в SMR BAW-резонаторе, вызванный потерями, возникающими из-за наличия сдвиговых ОАВ. При применяемой ориентации пленки AlN сдвиговые компоненты механических смещений в объемной акустической волне теоретически должны отсутствовать. Но в реальной конструкции они могут возникать
где t — температура; Fr — резонансная частота; dFr — изменение резонансной частоты при изменении температуры на dt. Температурные характеристики нитрида алюминия немного лучше, чем пьезоматериалов, используемых в технике ПАВ. Так, ПАВ‑резонаторы, основанные на LiTaO3, имеют типичные значения TCF от –39 ppm/K, в то время как FBAR могут достигать в среднем около –30 ppm/K. C другой стороны, SMR BAW-резонаторы используют инверсное температурное поведение аморфного SiO2 для получения частичной компенсации температурного дрейфа других материалов. Модуль Юнга SiO2 увеличивается с повышением температуры. Кроме того, очень мал коэффициент теплового расширения. SMR BAW, удовлетворяющий указанным выше www.kite.ru
компоненты
условиям относительно типа дисперсии и использующий отражатель, оптимизированный для сдвиговых волн, может иметь ТCF до –19 ppm/K [15]. Дальнейшее улучшение ТCF в SMR BAW вероятно за счет увеличения содержания SiO2 и перемещения SiO2 ближе к зонам с высоким напряжением в стеке. 2 и могут Все эти подходы сильно вредят K eff быть применены только для фильтров и резонаторов с малой относительной шириной полосы пропускания. Чтобы иметь нулевой ТCF, следует смириться с величиной K 2eff резонатора ниже 4%. Необходимо отметить, что при создании полосовых фильтров на основе FBAR- или SMR BAW-резонаторов с оптимальными параметрами желательно обеспечить три вещи: эффективный коэффициент электромеханической связи K 2eff, высокую добротность Q и отсутствие паразитных резонансов. Коэффициент связи K 2eff имеет прямое отношение к достижимой ширине полосы фильтра, а также к вносимым потерям фильтра. Добротность Q влияет в основном на вносимые потери и крутизну краев полосы фильтра. Паразитные резонансы вблизи основного резонанса устройства приводят к увеличению вносимых потерь в полосе пропускания фильтра.
Заключение Перспективные направления развития СВЧ-акустоэлектроники возникли прежде всего в связи с рождением новых технологий, позволивших выращивать высококачественные тонкопленочные пьезоматериалы, имеющие толщины от 0,15 до 2 мкм. Одним из основных ключей успеха FBAR-технологий является использование AlN в качестве пьезоэлектрического материала и материалов с высоким акустическим импедансом, таких как молибден, вольфрам и рутений, в качестве электродов. Эти технологические отличия создают присущее FBAR-устройствам превосходство над обычной ПАВ‑технологией. В настоящее время на базе FBAR-технологий
ВЧ/СВЧ-элементы
разрабатываются новые типы СВЧакустоэлектронных тонкопленочных чипкомпонентов (резонаторы, фильтры, дуплексоры, сенсоры и др.), способных работать в диапазоне рабочих частот вплоть до 30 ГГц. К ведущим мировым производителям тонкопленочных акустоэлектронных компонентов, разрабатываемых на основе FBAR-технологий, относятся фирмы Avago Technologies, TriQuint Semiconductor, Fujitsu и др. В настоящее время только Avago Technologies выпускает в год более 200 млн штук дуплексоров, работающих в диапазоне центральных частот 1,5–2 ГГц, для сотовой телефонии [16]. Фирма TriQuint Semiconductor преступила к серийному выпуску полосовых фильтров на основе SMR BAW-резонаторов, функционирующих n на центральной частоте 5,775 ГГц [17].
Литература 1. Кипшидзе Д. Г., Шенк Х. П., Фиссел А. и др. Молекулярно-лучевая эпитаксия сильно рассогласованной по постоянной решетке гетеросистемы AlN/Si (111) для применения в приборах поверхностных акустических волн // Физика и техника полупроводников. 1999. Том. 33. Вып. 11. 2. Dubois M., Muralt P., Sagalowicz L. Aluminum Nitride Thin Films for High Frequency Applications // Ferroelectrics. 1999. Vol. 224. 3. Naik R. S., Reif R., Lutsky J. J., Sodini C. G. Low-Temperature Deposition of Highly Textured Aluminum Nitride by Direct Current Magnetron Sputtering for Applications in Thin-Film Resonators // Journal of The Electrochemical Society. 1999. Vol. 146 (2). 4. Ruffner J. A., Clem P. G., Tuttle B. A., Dimos D., Gonzales D. M. Effect of substrate composition on the piezoelectric response of reactively sputtered AlN thin film // Thin Solid Films. 1999. Vol. 354. 5. Hara M., Yokoyama T. X‑band filters utilizing AlN thin film bulk acoustic resonators // IEEE Ultrason Symp. 2007. 6. Marksteiner S., Kaitila J., Fattinger G. G., Aigner R. Optimization of Acoustic Mirrors for Solidly
Mounted BAW Resonators // Proceedings of IEEE Ultrasonics Symposium. 2005. Rotterdam. 7. Lanz R., et al. Aluminum-Nitride Manufacturing Solution for BAW and other MEMS Applications Using a Novel, High-Uniformity PVD Source // Proceedings of IEEE Ultrasonics Symposium. 2006. Vancouver. 8. Nowotny H., Benes E. General one-dimensional treatment of the layered piezoelectric resonator with two electrodes // J. Acoust. Soc. Am. August 1987. 82 (2). 9. Cherednick V. I. and Dvoesherstov M. Y. Surface and Bulk Acoustic Waves in Multilayer Structures // Chapter 3 in the book “Waves in Fluids and Solids”, Ruben Pico Vila (Ed.), ISBN: 978-953307-285-2, InTech, Croatia, September 2011. 10. Д в о е ш е р с т о в М . Ю . , Ч е р е д н и к В . И . , Босов С. И., Орлов И. Я., Руденко О. В. Численный и экспериментальный анализ параметров электроакустического тонкопленочного СВЧ-резонатора // Акустический журнал. 2013. Т. 59. № 5. 11. Ruby R., LarsonIII J. D., Fazzio R. S., Feng C. Performance Degradation Effects in FBAR Filters and Resonators due to Lamb Wave Modes // Proceedings of IEEE Ultrasonics Symposium. 2005. Rotterdam. 12. Lanz R., Muralt P. Bandpass Filters for 8 GHz Using Solidly Mounted Bulk Acoustic Wave Resonators // IEEE transactions on ultrasonics, ferroelectrics, and frequency control. June 2005. Vol. 52. № 6. 13. Su Q‑X, Kirby P., Komuro E., Imura M., Zhang Q., Whatmore R. Thin-Film Bulk Acoustic Resonators and Filters Using ZnO and Lead-ZirconiumTitanate Thin Films // IEEE Transactions on Microwave Theory and Techniques. April 2001. Vol. 49. № 4. 14. Marksteiner S., Kaitila J., Fattinger G. G., Aigner R. Optimization of Acoustic Mirrors for Solidly Mounted BAW Resonators // Proceedings of IEEE Ultrasonics Symposium. 2005. Rotterdam. 15. Aigner R. Volume manufacturing of BAWfilters in a CMOS fab // Acoustic Wave Device Symposium. March 2004. Chiba Japan. 16. www.avagotech.com 17. www.triquint.com
Реклама
12
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
14
новости
микроконтроллеры
Новые 8‑битные микроконтроллеры Microchip PIC для ответственных приложений
управление силовыми ключами, снижающее размеры платы и стоимость полученной схемы. Все перечисленные особенности присутствуют в компактных 8‑ и 14‑выводных микроконтроллерах. Разработка ответственных приложений, помимо подходящего микроконтроллера, требует соответственной обвязки и программного обеспечения. Применение PIC16F161X позволяет значительно упростить и улучшить разработку, а также сэкономить затрачиваемое время. Семейство PIC16F161X поддерживается стандартными средствами разработки — программаторами/отладчиками MPLAB ICD 3 (DV164035) и PICkit 3 (PG164130). PIC16F1612/PIC16LF1612 выпускаются в 8‑выводных корпусах PDIP и SOIC, а также в корпусах DFN и UDFN (3×3 мм). В свою очередь PIC16F1613/PIC16LF1613 выпускаются в 14‑выводных корпусах PDIP, SOIC и TSSOP, а также в корпусах UQFN и QFN (4×4 мм). www.gamma.spb.ru
Реклама
Компания Microchip объявила о запуске в производство новых 8‑битных микроконтроллеров с расширенной периферией — PIC16(L)F161X. Независимая периферия нового семейства разгружает ядро на критических задачах, позволяя распределить вычислительную мощность на другие процессы. Также имеется аппаратная защита от сбоев и аварий, а это значит, что новые микроконтроллеры можно будет использовать в ответственных применениях. В состав PIC16(L)F161X входят: • Оконный сторожевой таймер. Он выполняет сброс ядра при выходе значения за заданные пределы. • Модуль вычисления CRC и сканирования памяти. Он сканирует и вычисляет контрольные суммы блоков памяти независимо от ядра. • Сторожевой таймер внешних событий. Он контролирует периодические внешние события. • 24‑битный таймер SMT — расширенный таймер для более точных и долговременных измерений (скорость, обороты в минуту, частота и др.). Благодаря этой периферии на основе контроллеров PIC16(L)F161X можно будет создавать устройства класса B, безотказные решения как промышленного применения, так и бытового. В них также впервые реализован блок Zero Cross Detect (ZCD), с его помощью можно контролировать переход напряжения через нуль и значительно упростить реализацию устройств контроля и управления сетевым питанием: симисторов, реле и пр. Контроль перехода сетевого напряжения через нуль и четко привязанная коммутация нагрузки дают возможность существенно снизить уровень генерируемых помех и увеличить срок службы контактных групп. Генератор комплементарного сигнала (CWG), аналоговые компараторы, 10‑битный АЦП, 8‑битный ЦАП и модуль захвата/сравнения/ШИМ, также входящие в состав периферии, увеличивают гибкость применения и снижают цену решения. CWG генерирует пару противофазных сигналов на выводах контроллера с настраиваемыми параметрами — полярностью, «мертвым» временем и аварийным состоянием. Это надежное аппаратное решение для управления полу- и полномостовыми преобразователями, упрощающее
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
датчики
компоненты
15
Мобильные датчики движения 2014 года. Новые вехи в истории инноваций*
Светлана Сысоева Dr.Gold@sysoeva.com
Введение На данный момент актуальные инновации в сегменте рынка мобильных датчиков инерции включают: • комбидатчики — 6‑осевые (электронные компасы, IMU) или 9‑осевые сенсорные решения; • новые архитектуры: сенсорные узлы с МК; • новые применения: контекстная осведомленность, навигация в помещениях, носимые датчики; • лучшие характеристики датчиков для новых применений — акселерометров, гироскопов, магнитометров и комбидатчиков; • дальнейшее снижение потребления мощности; • передовые технологии корпусирования — TSV, CSP, монолитную интеграцию; • миниатюризацию; • новые технологии — мобильные датчики давления; • новые комбинации датчиков (помимо IMU, компасов и 9‑осевых комбидатчиков) — стали известны первые примеры объединения датчика давления с датчиками инерции и другими типами. Интеграция нескольких устройств на одном кристалле или в одном корпусе с ASIC — очевидный важнейший фактор снижения цены и достижения лучших характеристик датчиков инерции. Обработка сенсорных данных также обеспечивает высокоуровневые функции. Современные мобильные устройства уже широко используют 6‑осевые комбидат* Примечание. Статья подготовлена по материалам сайта www.innovationsinsightmag.com.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Ведущие производители мобильных датчиков движения (инерции) — STMicroelectronics, Invensense, Bosch и другие — непрерывно совершенствуют доступную компонентную базу сенсорных устройств, предназначенных для обнаружения движения, пользовательского интерфейса, навигации, существующих и новых применений. При этом лидеры рынка достигают все более высоких уровней интеграции и постоянно демонстрируют примеры новых архитектур, комбидатчиков и сенсорных узлов, обладающих лучшими характеристиками, — и все это происходит на фоне непрерывного сокращения потребления мощности, а также уменьшения размеров и цены датчиков, которые еще недавно казались предельно низкими.
чики, которые, по оценкам экспертов Yole Développement, станут совершенно обычными компонентами сотовых телефонов уже через год. Начиная с 2012‑го в смартфонах Samsung платформы Galaxy S применяются 9‑осевые IMU, а с 2015 года ожидается уже их массовое принятие рынком. В дальнейшем предстоит интеграция датчиков инерции с датчиками давления, влажности, температуры, микроконтроллерами и беспроводными модулями. Рыночный сегмент комбидатчиков для потребительской электроники в 2013 году составил $446 млн, а по мнению экспертов, в 2018‑м достигнет примерно $2 млрд. Более плотная аппаратная интеграция датчиков создает потребность в интеллектуальном управлении сенсорными данными. Меньшие МЭМС-устройства имеют меньшую емкость и, соответственно, сигналы более низкого уровня, что распространяется и на комбидатчики, отличающиеся к тому же повышенной аппаратной сложностью и нуждающиеся в более сложной обработке сигналов нескольких датчиков. Подобная обработка сигналов и слияние данных различных датчиков является объективным фактором для движения датчиков от дискретных компонентов и комбидатчиков в посвященные сенсорные узлы. Лидирующие производители (ST, Invensense и другие) дополнили МК свои мультиосевые сенсорные решения, а поставщики процессоров и специализированных кристаллов (например, навигационных чипов) добавляют функции сенсорных узлов к своим центральным и прикладным процессорам, перемещая управление данными датчиков от ОС на уровень сенсорного узла. Samsung и Apple уже
используют сенсорные узлы для обработки движения в фитнес-приложениях. Есть и другие приложения, в частности, навигация в помещениях и контекстная осведомленность. Новые применения создали потребность в новых типах датчиков и более высоких характеристиках существующих устройств. В настоящий момент лидирующие производители датчиков инерции демонстрируют новые решения проблем повышения интеграции, характеристик и энергосбережения (семейства Always On от ST и Invensense), а также новые комбинации на основе датчиков давления (7‑осевая платформа Invensense с интегрированным датчиком давления и пример объединения с датчиком влажности от Bosch). К числу ведущих компаний рынка датчиков инерции принадлежат глобальный игрок STMicroelectronics, чья доля составляет 42% (по данным Yole Développement), и два его важнейших конкурента — Invensense и Bosch Sensortec. Четвертым основным игроком считается компания AKM, доминирующая в сегменте магнитометров (ее доля достигает 75%). Эти компании вкладывают в сегмент датчиков инерции также около 75%, не только главенствуя в плане объемов производства, но и являясь общепризнанными флагманами в области технологий. STMicroelectronics, Invensense и Bosch демонстрируют повышение уровней интеграции и характеристик с одновременным снижением потребления мощности, реализованные в новых предложениях компонентной базы датчиков. Они же диктуют соотношения «цена — объемы», «цена — характеристики датчиков движения», определяя в целом размеры современного потребительского рынка. www.kite.ru
16
компоненты
Впрочем, существующие проблемы оптимизации характеристик, интеграции, энергопотребления, габаритов и цены оставляют пространство для других компаний и новых технологий. Оставшиеся 25% объема сегмента датчиков инерции приходятся на долю других компаний, тоже заслуживающих упоминания, — Kionix, Analog Devices, Freescale, Memsic. Компания Kionix совсем недавно создала виртуальный гироскоп, Freescale — 12‑осевую сенсорную платформу, Memsic — уникальную тепловую технологию акселерометров и миниатюрные датчики. Внимания заслуживают и разработки пьезорезистивных датчиков CEA-Leti с магнитометром для 9‑осевого сенсорного решения на одном кристалле, реализуемые компанией Tronics, а также технология гироскопов Qualtré на основе объемных акустических волн (BAW) как перспективные альтернативы. Но пока что на переднем плане МЭМСтехнологии датчиков движения находится компания ST — первая, превысившая отметку продаж датчиков в $1 млрд и в настоящее время предлагающая широчайший выбор МЭМС-акселерометров, гироскопов, датчиков давления, магнитометров и микрофонов, мультисенсорных комбидатчиков с интегрированной обработкой сигнала, функциями управления, алгоритмами слияния сенсорных данных и беспроводной функциональностью. Компания ST непрерывно обновляет свое портфолио датчиков и предлагает решения, обладающие более совершенными характеристиками и высоким уровнем интеграции.
датчики
Рис. 1. Применение нового 9-осевого комбидатчика движения/положения LSM9DS1
STMicroelectronics: полный спектр решений высокого уровня интеграции и характеристик для различных применений
контроля жестов, навигации в помещении, дополненной реальности. Передовая технология МЭМС ST обеспечивает значительное снижение нулевого смещения акселерометра до 100 мg, типичный шум гироскопа — до 0,008 dps/√Гц в полном диапазоне. Эти улучшения позволяют точно отслеживать движение и положение (ориентацию) и иметь надежную контекстную осведомленность. Устройство оснащено технологиями ST в плане интеллектуального управления питанием, типичный рабочий ток сокращен до 2 мА. Малый размер и батарейная эффективность (потребление мощности ниже на 20%), достигнутые ST, повышают юзабилити и комфортабельность портативных устройств. Более высокое разрешение положения (разрешение магнитометра на 30% выше) предназначены обеспечить точность и стабильность работы смарт-ТВ, игровых контроллеров, носимых спортивных или медицинских датчиков.
Новый 9‑осевой датчик движения/положения LSM9DS1. Высочайшие уровни интеграции и исполнения Новый 9‑осевой датчик движения и положения LSM9DS1 (рис. 1) является наиболее передовым предложением ST из числа 9‑осевых комбидатчиков для следующего поколения мобильных и носимых устройств и имеет повышенные характеристики при сниженном потреблении мощности и размерах корпуса. Устройство поставляется в корпусе LGA размерами 3,53 мм, что почти на 35% меньше, чем корпуса устройств предыдущего поколения. Предыдущее поколение 9‑осевых сенсорных модулей LSM9DS1 поставлялось в корпусах LGA размерами 44 мм; новый фут-принт 3,53 мм экономит более 5 мм2 площади платы и вместе с малой высотой в 1 мм превосходно вписывается в тонкие смартфоны и носимые устройства. Модуль поддерживает приложения контекстной осведомленности и признаки
Новые 6‑осевые комбидатчики движения семейства Always-On — LSM6DB0, LSM6DS1 и LSM6DS0 Данное семейство (рис. 2) представляет собой уникальные 6‑осевые устройства для обнаружения инерциального движения, способные выполнять сенсорные функции и клиентские приложения, потребляя на 40% меньше мощности, чем существующие решения. Расширенные функции по обнаружению жестов включают, например, возможность получения 360‑градусных панорамных фото простым вращением мобильного телефона или прокрутку карт взмахами (качанием) руки. Лучшие для отрасли исполнения датчиков Always-On — это результат 6‑осевого детектирования, в котором и 3‑осевой акселерометр, и 3‑осевой гироскоп производятся на том же кристалле, работают синхронно с той же скоростью вывода данных, коррелированы в плане слияния данных и представляют собой устройства с шестью степенями свободы детектирования движения,
а не только с отдельными входами ускорения и угловой скорости. Семейство реализует отслеживание любых видов движения, эффективную и надежную контекстуальную осведомленность, функции маломощного и точного педометра (шагомера); прецизионную ориентацию и точный курс на любом портативном устройстве. Инновации на уровне технологии МЭМС также довели смещение акселерометра максимум до 100 мg, шум гироскопа — до 0,008 dps/√Гц типично для малой полной шкалы. Кроме того, энергосберегающие датчики потребляют менее 2 мА и поставляются в ультракомпактном корпусе размерами 330,8 мм (LSM6DS0, LSM6DS1) или 331 мм (LSM6DB0). LSM6DS1 и LSM6DB0 имеют сенсорный узел для координации и синхронизации дополнительных датчиков, в том числе магнитных и других экодатчиков. Однако LSM6DS0 без сенсорного узла сочетается с кристаллами для управления радиомодулями основной полосы (baseband-процессорами), которые уже включают сенсорный узел и обеспечивают те же характеристики 6‑осевого детектирования. LSM6DB0 — наиболее продвинутый 6‑осевой смарт-датчик из семейства iNEMO, объединенный с микропроцессорным ядром ARM Cortex в сенсорный узел. LSM6DB0 рекомендован для basebandчипсетов без сенсорного сопроцессора; все функции датчиков запускаются на борту для минимизации задержки приложений на системном уровне. Данное интеллектуальное устройство полностью совместимо со всеми последними мобильными операционными системами, например, способно эффективно выполнять все специфицированные признаки ОС Android KitKat 4.4, в том числе полное конфигурирование датчика и управления, интеллектуальное управление питанием, калибровку магнитометра, оценку смещения гироскопа и компенсацию смещения, фоновую калибровку акселерометра, 6‑ и 9‑осевое сенсорное слияние, функции шагомера
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
датчики
компоненты
17
Рис. 2. Новое поколение 6‑осевых датчиков движения семейства Always-On — LSM6DB0, LSM6DS1 и LSM6DS0 — комбидатчики и сенсорные узлы с низким потреблением мощности в ультракомпактных корпусах
и подсчета шагов, сбор значительных данных движения, буферизацию в режиме реального времени, отдельный пакетный режим для всех реальных и виртуальных датчиков — сберегая мощность, допуская быстрое время срабатывания и упрощая дизайн устройства. Благодаря открытой микропроцессорной архитектуре производители девайсов могут интегрировать собственное прикладное ПО, основанное на датчиках. 6‑осевые датчики ST семейства AlwaysOn — LSM6DB0, LSM6DS1, LSM6DS0 — доступны для оценки, массовое производство стартует в первой половине 2014 года. Новые гироскопы L2G2IS для оптической стабилизации изображений Оптическая стабилизация изображений — существенный признак современ-
ных смартфонов, который обеспечивается посредством гироскопов. Новый двухосевой гироскоп ST L2G2IS (рис. 3) специально разработан для оптической стабилизации изображений в смартфонах и цифровых компактных камерах. Миниатюрные размеры 2,32,30,7 мм L2G2IS допускают простую интеграцию датчика в следующее поколение стабилизированных модулей камер. Размер снижен на 50% по сравнению с предыдущим поколением по площади и на 60% — в объеме. В то же время гироскоп L2G2IS обеспечивает достаточный для данного применения уровень характеристик. Ключевые технические признаки нового устройства — полные диапазоны ±100 dps/±200 dps, 3‑ и 4‑проводной интерфейс SPI, интегрированные ФНЧ и ФВЧ с избирательной полосой, напряжение питания — от 1,7 до 3,6 В.
Рис. 3. Новые гироскопы L2G2IS для оптической стабилизации изображений
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
LIS344AHH — акселерометр высокого уровня исполнения с входным диапазоном до 18g LIS344AHH (рис. 4) — 3‑осевой акселерометр от STMicroelectronics высокого уровня исполнения, отличающийся большим входным диапазоном до ±18g. Высокий входной диапазон устройства предназначен для игр, пользовательского интерфейса, дополненной и виртуальной реальности. Полный диапазон измерений акселерометра LIS344AHH выбирается пользователем и может составлять ±6g или ±18g, что повышает его возможности по сравнению с другими устройствами, работающими в единственном диапазоне только до ±16g. Датчик характеризуется высокой полосой пропускания, малыми шумами, высокой механической и температурной стабильностью и имеет встроенный модуль самодиагностики.
Рис. 4. LIS344AHH — 3‑осевой акселерометр высокого уровня исполнения с высоким входным диапазоном до ±18g
www.kite.ru
18
компоненты
Высокая входная полоса нового устройства допускает более высокие скорости изменения выходных данных, выполняя точное измерение резко меняющихся ускорений для промышленного контроля, робототехники, носимой электроники и мониторинга спортивной активности. Объединение высоких показателей передачи данных с малошумящей работой разрешает мониторинг смещений малой амплитуды, сглаженный и более чувствительный контроль движения в таких приложениях, как виртуальная и дополненная реальность. Устройство характеризуется напряжением питания в диапазоне 2,4–3,6 В, встроенным самотестированием и поставляется в корпусе 441,5 мм LGA‑16. Рабочая температура от –40 до +85 °C. Устойчивость к ударам до 10 000g. Цена образцов LIS344AHH соответствует уровню исполнения — $8,4 для заказов свыше 1000 шт. LIS2HH12 — 3‑осевой акселерометр высокого уровня исполнения, противостоящий жестким мобильным условиям Современные интенсивно обрабатывающие мобильные приложения и супертонкий дизайн хэндсетов делают портативную электронику более подверженной механическим и температурным воздействиям. Поскольку OEM стремятся обеспечивать новые модели более точными, стабильными и чувствительными функциями обнаружения движения (инклинометры, обнаружение жестов, игры, искусственный горизонт в камерах, навигация в помещениях, дополненная реальность и т. п.), для них был создан новый трехосевой акселерометр ST LIS2HH12 (рис. 5) на основе инновационной механической структуры и специальной обработки для обеспечения непрерывных и стабильных высоких характеристик в условиях переменного теплового воздействия в тонких корпусах. Инновационная архитектура датчика LIS2HH12 повышает его тепловую и механическую стабильность, обеспечивает высокие характеристики и заключена в корпус с малым фут-принтом размерами 221 мм, благодаря которым датчик хорошо вписывается в низкопрофильные и носимые устройства. Параметры акселерометра включают избирательные диапазоны ±2, ±4 или ±8g, 16‑битный цифровой выход, интегрированный датчик температуры, интерфейсы I2C и SPI, широкий диапазон аналогового напряжения питания 1,7–3,6 В, два программируемых генератора прерывания для потоковых систем. Температурный дрейф смещения — ±0,25 мg/°C, что позволяет оценивать стабильность LIS2HH12 вдвое выше, чем у ранее анонсированных устройств. По данным производителя, механическая стабильность характеризуется типичной точностью смещения порядка ±30 мg и улучшена на 25% в сравнении с существующими решениями.
датчики
Рис. 5. LIS2HH12 — 3‑осевой акселерометр высокого уровня исполнения, противостоящий жестким мобильным условиям
LIS2HH12 полностью программно и аппаратно совместим с недавно анонсированным ST компасом LSM303C с фут-принтом 22 мм. Альтернативно устройство может использоваться совместно с автономным магнитным датчиком ST LIS3MDL. Инженерные образцы уже доступны, а массовое производство датчика стартует в 2014 г., с ценой единицы $0,9 в количестве от 1000 шт. LPS25H — новый миниатюрный датчик давления для мобильных применений Датчики давления в смартфонах предназначены для навигации в помещении по этажам и сервисов, основанных на местоположении, а также для инерциальной навигации в помещении при потере сигнала GPS. Новые возможности включают анализ погоды и мониторинг давления в целях контроля здоровья и занятий спортом. Уникальный набор признаков LPS25H (рис. 6) предусматривает повышенную температурную компенсацию в переменном окружении. Устройство обладает функцией автоматического обнуления при входе в здание с дополнительными признаками в виде порогов и прерываний. Помимо мобильных
Рис. 6. LPS25H — новый миниатюрный датчик давления для мобильных применений
применений, LPS25H предназначен для носимых, промышленных и интеллектуальных домашних систем. Размеры корпуса устройства составляют 2,52,51 мм, рабочий ток — только 4 мкА для батарейных применений. Устройство является ультрамалошумящим, что позволяет достигнуть разрешения высоты до ±0,2 мбар, а встроенные алгоритмы температурной компенсации гарантируют высокоточные измерения в широком диапазоне рабочих температур.
Invensense: полный спектр комбидатчиков, сенсорных узлов и первая 7‑осевая платформа с интегрированным датчиком давления Платформа Invensense AlwaysOn: высокие характеристики мобильных и носимых датчиков при минимальной потребляемой мощности Компания Invensense — лидирующий поставщик сенсорных систем на кристалле (SoC) MotionTracking — теперь, в связи с приобретением микрофонного бизнеса у Analog Devices, предлагает и микрофоны линейки Sound (рис. 7). В начале текущего года Invensense анонсировала новое семейство решений для слежения за движением и аудиосигналами для рынка смартфонов и носимых устройств, объединенных под названием AlwaysOn. Решения AlwaysOn отличаются высочайшими характеристиками при минимальной потребляемой мощности. AlwaysOn — это платформа для сенсорной интеграции с мощными алгоритмами и встроенным ПО. Invensense представила следующие сенсорные решения для смартфонов, созданные на основе платформы AlwaysOn:
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
датчики
• Invensense ICM‑20628 — 6‑осевая SoC для слежения за движением с наименьшим в мире потреблением мощности в 2 мВт; • ICS‑40160 — лучший в классе аналоговый микрофон (рис. 7б); • ICS‑40310 — микрофон с самым низким в мире потреблением тока (17 мкА) (рис. 7б). SoC ICM‑20628 представляет собой полностью автономный IMU на основе акселерометра и гироскопа с лучшими спецификациями, интегрированный с процессором обработки сигналов движения третьего поколения. При этом датчик потребляет менее 2 мВт мощности. Ключевые признаки устройства: • самокалибровка для непрерывного обеспечения высоких характеристик в течение расширенного срока службы; • классификация активности для фитнеса и контекстной осведомленности; • запас данных и дозирование для сбора истории движения и внешнего процессора. ПО Invensense для ICM‑20628 MotionApps полностью совместимо со многими ОС, включая Google Android KitKat. Микрофон Invensense ICS‑40160 потребляет в маломощном режиме только 50 мкА, в режиме с высокими рабочими характеристиками характеризуется SNR 65 дБ. Invensense ICS‑40310 потребляет только 17 мкА, что, по данным компании, менее половины, чем у ближайшего конкурента. Высокий SNR составляет 64 дБ. Смартфоны — важнейшая составляющая Интернета вещей в плане сбора и коммуникации увеличивающихся сенсорных данных в облако, для которых платформа AlwaysOn позволяет экономить мощность, выполняя функции обнаружения ключевых слов, активности, отслеживания местоположения. Одновременно с введением решений для смартфонов Invensense представила и решения AlwaysOn по автоматическому обнаружению активности для рынка носимых датчиков. Invensense ICM‑20655 представляет собой 6‑осевую SoC с наименьшим в мире потреблением мощности, ICM‑20955 — наиболее маломощная в мире 9‑осевая SoC, а ICS‑43430 — лучший в классе I2S-микрофон. ICM‑20655 и ICM‑20955 объединены с библиотечным ПО Invensense Automatic Activity Recognition (AAR), что позволяет с помощью платформы AlwaysOn контролировать на наручных носимых устройствах активность следующих видов: бег, прогулка, велосипед, статическое положение и сон. Кроме того, объединение акселерометров, гироскопов с AAR в ультрамаломощное 6‑осевое решение Invensense с 2 мВт потребляемой мощности допускает широкий набор возможностей по слежению за активностью для нового поколения смарт-часов, фитнес-полос, фитнесчасов и других подобных устройств.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
а
компоненты
19
• самокалибровка для непрерывного обеспечения рабочих характеристик в течение расширенного срока службы; • классификация активности и анализ для фитнес-приложений и контекстной осведомленности; • функция альтиметра для 3D-навигации в помещениях и за их пределами. ICM‑20728 программно совместим с анонсированным ранее ICM‑20628. Данная платформа включает программную среду для разработки клиентских признаков с использованием ПО Invensense для обнаружения жестов Gesture Language (IGL) tools и MotionApps и полностью совместима с ОС Google Android KitKat и другими. Оценочные образцы Invensense ICM‑20728 ожидаются в первой половине 2014 года.
Bosch BME280 — первый MEMS-комбидатчик давления, влажности и температуры
б
Рис. 7. Сенсорная продукция компании Invensense: а) сенсорные системы на кристалле для слежения за движением MotionTracking; б) новая микрофонная линейка Invensense Sound
Invensense ICS‑43430 представляет собой МЭМС-микрофон с высокими характеристиками, SNR 65 дБ, цифровым выходом I2S для прямого подключения к прикладному процессору или ЦОС без кодеков и малой потребляемой мощностью. Первая в мире интегрированная 7‑осевая платформа MEMS MotionTracking ICM‑20728 — первая в мире интегрированная 7‑осевая однокристальная платформа уровня SoC-решения, объединяющая 3‑осевой гироскоп, 3‑осевой акселерометр и датчик давления с бортовым процессором третьего поколения Digital Motion Processor (DMP) и соответствующими алгоритмами. Выполняет функции слежения за движением и контролем высоты для задач навигации, а также для приложений мониторинга здоровья и занятий фитнесом. Новая 7‑осевая платформа InvenSense предназначена для мобильных и носимых устройств — смартфонов, планшетов, фитнес-полос и смарт-часов. Новый датчик ICM‑20728 потребляет приблизительно 2 мВт мощности для полных 7 осей и активного процессора DMP, что еще разподтверждает его принадлежность к AlwaysOn. Семиосевая платформа имеет следующие признаки:
Bosch представила свой новый MEMS- компонент BME280 (рис. 8), представляющий собой интегрированный блок для контроля окружающей среды, выполняющий в одном корпусе размерами 2,52,5 мм сразу несколько мобильных измерений — давления, влажности и температуры. Высота корпуса датчика — менее 1 мм. Коммуникационные интерфейсы датчика: I2C, SPI. Применения устройства включают навигацию по этажам в помещениях, хэндсеты, носимые датчики для занятий спортом и фитнесом. Точное измерение высоты посредством датчика давления в BME280 является ключевым требованием для осуществления навигации по этажам, для которой важны низкий температурный дрейф и высокое разрешение датчика. Диапазон измеряемых давлений BME280 составляет 300–1100 гПа, абсолютная точность — ±1 гПа. Относительная точность BME280 при измерении давления характеризуется цифрами в ±0,12 гПа, что эквивалентно ±1 м при разрешении в 1,5 см. Измерения давления BME280 являются температурно стабильными и отличаются низким температурным коэффициентом в 1,5 Па/K, что эквивалентно температурной стабильности высоты около 12,6 см/K. Данная точность соответствует требованиям новых приложений мониторинга высоты, спортивных тренировок и многих других применений, включая навигацию в помещениях, контроль домашней автоматизации, персонализированные погодные станции, спортивные и фитнесприложения, для поддержки которых был разработан данный датчик. Кроме того, BME280 характеризуется лучшим в классе временем срабатывания в 1 с при определении влажности, точными измерениями температуры и низким потреwww.kite.ru
20
компоненты
датчики
блением мощности. Ток потребления достигает лишь 3,6 мкА (на частоте в 1 Гц), что подходит для батарейного питания BME280. Датчик имеет три режима питания и индивидуально конфигурируемые скорости дискретизации для измерений давления и температуры. Датчик влажности измеряет относительную влажность в диапазоне 0–100% в температурном диапазоне от –40 до +85 °C за время отклика менее 1 с при точности лучше ±3% и гистерезисе в 2% или лучше. Корректность температурных измерений находится в пределах 0,5 °C. Bosch Sensortec поставляет ПО для поддержки разработок на основе датчика, первые образцы которого уже доступны для клиентов.
Предложения компонентной базы от других производителей Магнитометры AKM — высокое разрешение и низкое потребление мощности в малых корпусах Asahi Kasei Microdevices (AKM) — лидирующий производитель 3‑осевых электронных компасов на основе технологии эффекта Холла в сегменте потребительской электроники. Электронные компасы AKM используют технологию датчиков магнитного поля с широким динамическим диапазоном, высокой линейностью и разрешением. Осенью 2013 года Asahi Kasei представила два новых компаса — AK09911 и AK09912 в WLCSP-корпусах с высоким разрешением и малым потреблением мощности. AK09911 и AK09912 характеризуются 16‑битным разрешением (0,6 и 0,15 мкТл/LSB соответственно) в измерительном диапазоне ±4900 мкТл при рабочем токе в 2,4 и 1 мА на частоте 100 Гц. Размеры корпуса AK09911 составили 1,21,20,5 мм, то есть на 56% меньше по сравнению с предшествующим поколением компасов AK8963C. Analog Devices ADXL362 — ультрамаломощный акселерометр для носимых применений Analog Devices ADXL362 представляет собой ультрамаломощный 3‑осевой MEMSакселерометр, потребляющий менее чем 2 мкА на частоте выходных данных 100 Гц и 270 нА в режиме переключения движения. Устройство оснащено интегральным датчиком температуры. Оптимизатор характеристик акселерометров Kionix FlexSet для полного контроля потребления мощности и шумов Kionix Inc. выпустила свое решение для улучшения характеристик акселерометров — оптимизатор характеристик FlexSet, представляющий собой инновационную техно-
Рис. 8. BME280 — первый MEMS-комбидатчик давления, влажности и температуры
логию для динамического регулирования характеристик и соответствия уникальным системным требованиям. На данный момент новая технология встроена в акселерометры Kionix KX022 22 мм и KX023 33 мм, а в дальнейшем производитель планирует на ее основе создавать многочисленные маломощные продукты Kionix с высокими рабочими характеристиками. Усложненная фильтрация и другие признаки, улучшающие точность прочтений и позволяющие избежать некорректных измерений вследствие шумов, повышают потребление мощности. По замыслу разработчиков, клиенты получают возможность создавать свой собственный акселерометр, находя компромисс между потреблением мощности и шумовыми характеристиками. FlexSet включает онлайн- и загружаемый графический пользовательский интерфейс с инструментами для дизайна и референсной информацией по настройке датчиков. Кроме того, FlexSet повышает программируемость интегрированных алгоритмов, допуская простое вращение экрана, одиночные и двойные клики Tap/Double-Tap и функции пробуждения движением. FlexSet обеспечивает сбережение мощности, а в итоге — новые уровни клиентской кастомизации и системной оптимизации. Емкостный датчик давления Murata Murata разработала емкостный экстремально малошумящий (0,5 Па rms) датчик давления, представленный в корпусе размерами 2,32,6 мм, что соответствует разрешению высоты в 5 см. Рабочий диапазон устройства с интерфейсом SPI/I2C составляет 300–1100 гПа, относительная точность — ±0,12 гПа, абсолютная точность — ±1 гПа в рабочем диапазоне температур.
Индустриализация Tronics технологий M&NEMS CEA-Leti Компания Tronics выпустила первые 6DOF MEMS-кристаллы IMU на одном кристалле, размер которых менее 4 мм2, что становится новым промышленным стандартом в данной отрасли и обещает дальнейшее снижение размеров корпусов. Компания представила и первые образцы 9‑осевого IMU. Благодаря пьезорезистивной нанопроводной технологии, лицензированной CEA-Leti, не только значительно снижено потребление мощности, но и возможно производство других типов датчиков — акселерометров, гироскопов, магнитометров, датчиков давления и микрофонов.
Заключение Обзор, представленный в статье, показывает, что эволюция мобильных датчиков движения продолжается. Лидирующие производители непрерывно демонстрируют новые достижения в данной сфере — это повышение интеграции, совершенствование характеристик устройств, энергосбережения и миниатюризации корпусов, новые архитектуры, технологии и комбинации датчиков. Ключевыми рыночными тенденциями являются массовое принятие мобильным рынком комбидатчиков и нового поколения сенсорных узлов, в том числе вхождение на рынок технологий датчиков давления, интегрированных с другими типами в составе новых мультисенсорных комбиплатформ. В перспективе — следующие уровни аппаратной интеграции, технологий слияния сенсорных данных и беспроводной функциональности, дополненные неизменными тенденциями мобильного рынка в плане достижения предельно низких показателей размеров корпусов, потребления мощности и цены. n
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
компоненты
22
датчики
Александр Симчук, к. т. н. Андрей Цыпленков Олег Шуков Николай Архипкин mail@globaltest.ru
В
се большее применение в измерительных системах находят пьезоэлектрические датчики со встроенной электронной схемой: • вибропреобразователи миниатюрные, миниатюрные трехкомпонентные, общего назначения, двухкомпонентные, трехкомпонентные, промышленные, промышленные трехкомпонентные;
Рекомендуемые схемы подключения пьезоэлектрических датчиков со встроенной электроникой • вибропреобразователи промышленные с токовым выходом, с цифровым выходом, подводные, высокочувствительные (рис. 1); • преобразователи виброперемещений (рис. 2); • датчики силы (рис. 3); • датчики динамического давления (рис. 4); • преобразователи акустической эмиссии (рис. 5);
• преобразователи виброскорости (рис. 6). Предусилители в корпусе датчика решают те же задачи, что и предусилители в схемах подсоединения датчиков с зарядовым выходом, исключая при этом: • емкость соединительного кабеля, то есть емкостную нагрузку по входу предусилителя, оказывающую влияние на коэффициент усиления, соответственно, коэффи-
Рис. 2. Преобразователи перемещения
Рис. 3. Датчики силы
Рис. 4. Датчики динамического давления
Рис. 5. Преобразователи акустической эмиссии
Рис. 1. Вибропреобразователи со встроенной электроникой
Рис. 6. Преобразователи виброскорости
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
датчики
компоненты
23
б
а
в
г
Рис. 7. Схемы подключения: а) промышленного трехкомпонентного вибропреобразователя АР71; б) однокомпонентного вибропреобразователя АР36; в, г) примеры их реализации с согласующими устройствами AG04-3-01, AG04-1-01, соответственно: АР1 — АР71; АР2 — АР36; АG04-3-01 (AG04-1-01) — согласующее устройство; AS04 — блок питания; АК — соединительный кабель АК19; регистрирующая аппаратура — например, цифровой осциллограф TDS3034B Tektronix
циент преобразования измерительного тракта «датчик – предусилитель»; • токи утечки и паразитные напряжения, возникающие вследствие трибоэлектрического эффекта в кабеле, приводящие к появлению погрешности, пропорциональной выходному импедансу датчика. Наличие в датчиках встроенной электроники позволяет реализовать аналоговый низкоомный выход по напряжению, стандартный токовый выход (0–5 мА и 4–20 мА), цифровой выход, дополнительные опции: нормирование чувствительности с отклонением от номинала не более 2%, технологию опроса TEDS. Технология опроса TEDS (Transduсer Electronic Data Sheet — электронные таблицы данных датчика) автоматически определяет тип датчика и его технические характеристики в соответствии со стандартом IEEE P1451.4. Встроенный в датчик чип TEDS сохраняет важную информацию, обеспечивает оптимальное использование имеющихся датчиков, снижает вероятность появления ошибок, связанных с человеческим фактором, экономит временные и финансовые затраты. Датчики со встроенными чипами TEDS имеют встроенную память, работающую в режимах чтения и записи, которая содержит информацию о серийном номере датчика, его чувствительности и координатах, о дате последней калибровки и т. д. Одна область памяти чипа TEDS зарезервирована для хранения характеристик, установленных производителем, другая — предна-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
значена для пользовательских данных (например, идентификатор канала, место установки, направление, обозначение и т. п.). Несмотря на то, что датчики с TEDS содержат цифровую информацию, их конструкция и характеристики, используемые источники питания остаются неизменными. Для доступа к цифровым данным TEDS регистрирующая аппаратура должна поддерживать такой тип датчиков.
Применение в измерительных каналах датчиков со встроенным предусилителем имеет свои особенности, связанные с организацией питания, передачи и регистрации сигнала встроенного в датчик предусилителя. На рис. 7 приведены схемы подключения промышленных вибропреобразователей АР71 и АР36 со встроенной электроникой к регистрирующей аппаратуре. Особенность предусили-
а
б
Рис. 8. Схема подключения (а) вибропреобразователей общего назначения АР98-100-3.3, АР98-100-5 и пример ее подключения (б) с согласующим устройством AG04: АР — АР98-100-3.3, АР98-100-5; АG04 — согласующее устройство; ИП — источник питания 3,3–5 В, например GPS‑3030D GW Instek; АК1 — соединительный кабель АК19; АК2 — соединительный кабель АК19; регистрирующая аппаратура — например, анализатор спектра А17‑U2 ZETLab
www.kite.ru
компоненты
24
датчики
а
б
Рис. 9. Схема (а) и вольт-амперная характеристика (б) токостабилизирующего диода: Umax = 50 В, Umin = 15 В, стабилизированный ток I ±20%
теля [2, 5, 6] этих датчиков в том, что он разделен на две части, одна из которых расположена в корпусе датчика и содержит усилительный каскад, а другая часть предусилителя содержит согласующий резистор 2 кОм ±1% и разделительный конденсатор емкостью >10 мкФ20 В, причем обе части соединены между собой двухпроводной линией связи максимальной длины до 100 м. Вибропреобразователи АР36 и АР71 имеют следующие особенности: • электрическая изоляция пьезоэлемента и встроенного предусилителя от корпуса; • низковольтное питание (9–15 В); • низкая чувствительность к электромагнитным полям; • низкий уровень собственных шумов. К ограничению в применении АР36 и АР71 следует отнести необходимость оригинального согласующего устройства при использовании стандартной регистрирующей аппаратуры. Схема подключения вибропреобразователей общего назначения АР98-100-3.3, АР98-100-5 с предусилителем с низковольтным питанием 3,3 и 5 В соответственно приведена на рис. 8. Низковольтное значение напряжения и тока питания предусилителя ограничивает динамический диапазон измеряемых ускорений. Отмеченные у промышленных вибропреобразователей АР71, АР36 и общего назначения АР98-100-3,3, АР98-100-5 ограничения к применению отсутствуют в датчиках со встроенным предусилителем, электропитание которого производится постоянным током 2–20 мА при напряжении 15–30 В токостабилизирующим диодом, например серии J500 (схема и вольт-амперная характеристика последнего приведены на рис. 9). При этом электропитание и передача сигнала осуществляются также по двухпроводной линии связи. Предусилитель [2, 5, 6] датчика состоит из двух частей, одна из них размещена в корпусе пьезоэлектрического вибропреобразователя и включает каскад усиления, а другая часть расположена вне корпуса и включает разделительный конденсатор емкостью >10 мкФ35 В для отделения полезного сигнала от постоянной составляющей напряжения 8–13 В и специальный токостабилизирующий диод.
Расширение динамического диапазона достигается тем, что в усилительном каскаде предусилителя применяется динамическая нагрузка, в качестве которой использованы токостабилизирующий диод и дополнительный
резистивный делитель, обеспечивающий оптимальный режим работы усилительного каскада при заданном коэффициенте усиления. Действие предусилителя в широком диапазоне напряжений и токов источника питания достигается тем, что во второй части предусилителя применен специальный токостабилизирующий диод, включаемый через линию связи в исток полевого транзистора с изолированным затвором первой части предусилителя. Величина тока питания зависит от длины соединительного кабеля (емкостной нагрузки) и условий эксплуатации датчика. Для работы датчика в заданном амплитудном диапазоне устройство питания должно обеспечивать постоянный ток, величина которого определяется зависимостью:
In = 2πUfC0l, где U — размах напряжения сигнала на выходе, В; f — максимальное значение частоты
а
б
Рис. 10. Схема подключения (а) и ее реализация (б) для датчика с разъемным соединителем на выходе с блоками питания AS07, AS01: АР — АР2029, АР2034, АР28-01, АР2037, АР98-01, АР91, АР91-01, АР2006, АР2050, АР99; AS07, AS01 — блоки питания для датчиков со встроенной электроникой; AS05, AS02 — блок питания; АК1 — соединительный кабель АК15, АК19, АК24, АК28, АК31; АК2 — соединительный кабель АК19; регистрирующая аппаратура — например, цифровой осциллограф TDS3034B Tektronix
а
б
Рис. 11. Схема подключения (а) и ее реализация (б) для однокомпонентного датчика с неразъемной заделкой соединительного кабеля с согласующими устройствами AG01, AG02: АР — АР2019, АР2030, АР2031, АР35, АР35-02, АР85, АР2078, АР2006-01; AG01, AG02 — согласующие устройства; AS05 — блок питания; АК — соединительный кабель АК19; регистрирующая аппаратура — например, цифровой осциллограф TDS3034B Tektronix
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
датчики
в диапазоне рабочих частот, Гц; С0 — емкость погонного метра соединительного кабеля, пФ/м; l — длина соединительного кабеля, м. Например, при размахе сигнала напряжения U = 10 B, в диапазоне частот до 10 кГц, емкости погонного метра кабеля С0 = 100 пФ/м и длине l = 100 м ток питания In должен быть не менее 6 мА. На рис. 10, 11 приведены схемы подключения вибропреобразователей к регистрирующей аппаратуре, в которой отсутствует электропитание встроенного предусилителя постоянным током специальным токостабилизирующим диодом. Блоки питания AS07, AS01 в схеме подключения (рис. 10) осуществляют: • элетропитание предусилителя, встроенного в датчик, передачу сигнала по двухпроводной линии связи и позволяют подключиться к регистрирующей аппаратуре соединительным кабелем длиной до 100 м; • снижение (для AS07) влияния переходных процессов при переключении каналов по результатам измерения в низкочастотной области; • согласование (для AS07) параметров, отображающих исследуемые механические величины, с параметрами сигналов (в частности, чувствительности) используемой регистрирующей аппаратуры. Согласующее устройство в схеме подключения (рис. 11) осуществляет: • электропитание предусилителя, встроенного в датчик, передачу сигнала по двухпроводной линии связи; • снижение (для AG02) влияния переходных процессов, возникающих при переключении каналов, на результат измерения; • подключение к регистрирующей аппаратуре соединительным кабелем длиной до 100 м. Режим питания датчиков со встроенной электроникой обеспечивают усилители заряда и напряжения АР5020, АР5230-16, измерительные усилители заряда и напряжения АР5100, АР5110, АР5200, АР5200-4, АР5200-3, АР5200-8-19″, АР5010-4. На рис. 12 приведена схема подключения датчика со встроенной электроникой с усилителем заряда и напряжения АР5020. Усилитель АР5020 в схеме подключения рис. 12 обеспечивает: • электропитание предусилителя, встроенного в датчик; • передачу сигнала по двухпроводной линии связи; • согласование параметров, отображающих исследуемые механические величины, с параметрами сигналов (в частности, чувствительности) используемой регистрирующей аппаратуры; • управление режимом работы, включая режим нормирования через интерфейс USB; • индикацию перегрузки; • использование длинной линии связи (до 100 м).
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
компоненты
25
а
б Рис. 12. Схема подключения (а) и ее реализация (б) для датчика давления с разъемным соединением с усилителем заряда и напряжения АР5020: АР5020 — усилитель заряда и напряжения; AS05 — блок питания; PS — PS2001; АК1 — соединительный кабель АК15, АК17, АК19, АК24, АК28, АК31; АК2 — соединительный кабель АК19; АК3 — интерфейсный кабель USB; регистрирующая аппаратура — например, цифровой осциллограф TDS3034 Tektronix
а
б
Рис. 13. Схема подключения (а) и ее реализация (б) для двухкомпонентного и трехкомпонентных датчиков с неразъемным и разъемным соединителем с измерительным усилителем напряжения и заряда АР5200-8-19″: АР1 — АР2028; АР2 — АР2038 Р, АР2043, АР2082 М, АР2083; АР3 — АР2038, АР2081; АР5200-8-19″ — измерительный усилитель напряжения и заряда; AS05-03 — блок питания; АК1 — соединительный кабель АК21; АК2 — соединительный кабель АК19; регистрирующая аппаратура — например, цифровой осциллограф TDS3034B Tektronix
www.kite.ru
компоненты
26
На рис. 13 показана схема подключения датчиков со встроенной электроникой с измерительным усилителем напряжения и заряда АР5200-8-19″. Измерительный усилитель АР5200-8-19″ в схеме подключения обеспечивает: • электропитание предусилителя, встроенного в датчик, передачу сигнала по двухпроводной линии связи; • возможность изменения (масштабирование) коэффициента усиления, усилителя для получения нормированного по выходу значения выходного напряжения; • измерение среднеквадратического значения (СКЗ) виброускорения в м/с2, виброскорости в мм/с в режиме нормирования. На рис. 14 представлена схема подключения датчиков со встроенной электроникой с измерительным усилителем напряжения и заряда АР5100. Измерительный усилитель АР5100 в схеме подключения обеспечивает: • электропитание предусилителя, встроенного в датчик, передачу сигнала по двухпроводной линии связи; • возможность изменения (масштабирование) коэффициента усиления усилителя для получения нормализованного по выходу значения выходного напряжения; • измерение среднеквадратичного значения (СКЗ) виброускорения в м/с2, виброскорости в мм/с в режиме нормирования; • интерфейсы для связи с компьютером RS‑232 и USB; • протокол измерения; • возможность последовательного подключения 250 усилителей и идентификации конкретного экземпляра усилителя; • режим тестирования работы усилителя. В промышленных вибропреобразователях АР35Т, АР35Т‑01, в преобразователях виброперемещения D100, преобразователях виброскорости AV02, AV02-01, AV02-02, AV02-03 выходным сигналом является ток промышленного стандарта 4–20 мА. Схема подключения датчиков с выходным сигналом тока
датчики
а
б
Рис. 14. Схема подключения (а) и ее реализация (б) для однокомпонентного датчика с разъемным соединителем с усилителем заряда и напряжения АР5020: АР — АР2029, АР2034, АР28, АР2037, АР98, АР2006, АР2050, АР99; АР5100 — измерительный усилитель; AS05-01 — блок питания; АК1 — соединительный кабель АК15, АК17, АК19, АК24, АК28, АК31; АК2 — соединительный кабель АК19; АК3 — интерфейсный кабель USB (RS‑232); регистрирующая аппаратура — например, цифровой осциллограф TDS3034B Tektronix
промышленного стандарта 4–20 мА к контроллеру приведена на рис. 15. В схеме подключения (рис. 15) формируется токовая петля, состоящая из датчика (АР, AV, AD), источника питания G1 и сопротивления нагрузки, включенного последовательно с ним. При изменении сигнала датчика меняется и ток в диапазоне 4–20 мА. Тот же самый ток, несущий информацию, предназначен для питания электронной схемы датчика. Поскольку минимальный ток в цепи равен 4 мА, его хватает для поддержания работы электронной схемы датчика. Ток, текущий в контуре, приводит к падению напряжения на сопротивлении нагрузки Rнагр.. Это падение напряжения является информационным сигналом, используемым для даль-
нейшей обработки. Достоинство двухпроводной передачи — независимость величины тока от сопротивления соединительных проводов, а следовательно, и от длины линии передачи (очевидно, что это утверждение справедливо только в определенных пределах). Схема подключения преобразователей акустической эмиссии GT200U, GT250, GT350 к регистрирующей аппаратуре приведена на рис. 16. Особенностью приведенной на рис. 16 схемы подключения является передача усиленных сигналов и напряжения питания по двухпроводной линии связи. Перспективным направлением разрабатываемых систем технического диагностирования объектов контроля становится исполь-
а
а
б б Рис. 15. Схема подключения (а) и ее реализация (б) для датчиков с выходным сигналом тока промышленного стандарта 4–20 мА к контроллеру: АР — АР35 Т, АР35 Т‑01; AV — AV02, AV02-01, AV02-02, AV02-03; AD — D100; G1 — источник питания, например, HY3030E Mastech; регистрирующая аппаратура — например, цифровой мультиметр GDM‑8246 Instek
Рис. 16. Схема подключения (а) и ее реализация (б) для преобразователей акустической эмиссии GT200U, GT250, GT350 с согласующим устройством AG09: GT — GT200U, GT250, GT350; AG09 (AG09-01) — согласующее устройство; AS05 — блок питания; АК — соединительный кабель АК19; регистрирующая аппаратура — например, анализатор спектра A23 Zetlab
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
компоненты
датчики
зование вибропреобразователей с цифровым выходом. Передача данных в цифровом коде имеет ряд достоинств, самое главное из них — высокая помехозащищенность. На рис. 17 приведена схема подключения вибропреобразователя АР35D с цифровым выходом RS‑485. Программное обеспечение АР35D Explorer позволяет реализовать: • простой и наглядный интерфейс оператора; • цифровое, шкальное и графическое отображение измеренных параметров вибрации; • изменение цвета цифровых и шкальных индикаторов от зеленого к красному при изменении вибрации, соответственно, от нормального до предельного значения; • аварийную индикацию; • запись измеренных параметров вибрации (виброускорения и виброскорости) в файл; • преобразование записанных данных в формат MS Excel; • установку, сохранение и загрузку конфигурации; • регистрацию показаний до 32 вибропреобразователей.
Схемы подключения вибровыключателей, виброконтроллеров, автономных датчиков мониторинга вибрации В последние годы интенсивное развитие получила виброизмерительная аппаратура с функцией автоматического контроля со-
Рис. 18. Вибровыключатели
27
а
б
Рис. 17. Схема подключения (а) и ее реализация (б) для вибропреобразователей АР35D с преобразователем AG15: АР — АР35D; AG15 — преобразователь USB RS‑485; АК — соединительный кабель USB
стояния опасных производственных объектов. В том числе среди них можно назвать: • вибровыключатели SV01, SV01-01, SV01-02 (рис. 18); • виброконтроллеры АР5300, АР5300-01 (рис. 19); • автономные датчики мониторинга вибрации АДМВ‑01, АДМВ‑02, АДМВ‑05, АДМВ‑06 (рис. 20). Вибровыключатели SV [1, 3] предназначены для измерения виброскорости (в диапазоне частот 3–1000 Гц) объекта и выдачи сигнала превышения заданного уровня вибрации (величина задаваемого порога срабатывания (с. к. з.)/минимальный шаг задания порога срабатывания — 1–20/0,1; 20–100/0,5; 100–200/1) в виде замкунутых или разомкнутых контактов реле с параметрами: • ток коммутации 15–500 мА; • напряжение коммутации 15–30 В; • падение напряжения при токах коммутации 15 и 500 мА, соответственно, <4 В и <7 В. Для вибровыключателей SV не требуется дополнительного питания, передача сигнала
осуществляется на расстояние до 100 м, основные параметры программируются под требования заказчика или самим заказчиком с помощью пульта SVProg. Вибровыключатели SV соответствуют требованиям ГОСТ ISO 10816. На рис. 21 приведены примеры схем подключения вибровыключателя SV01. Для подсоединения SV01 к устройствам срабатывания (реле, индикаторной лампе) используется двухпроводная линия связи. На рис. 22 показаны примеры схем подключения вибровыключателя SV01-01. Для подсоединения SV01-01 к устройствам срабатывания (реле, индикаторной лампе) применяется трехпроводная линия связи. В схеме подключения (рис. 23) вибровыключатель SV01-02 осуществляет измерение и передачу с. к. з. виброскорости по стандартному токовому интерфейсу 4–20 мА по четырехпроводной линии связи. Диапазон измерения с. к. з. виброскорости и коэффициент преобразования по виброскорости в токовый сигнал устанавливается при выборе порога срабатывания.
Рис. 19. Виброконтроллеры
Рис. 21. Примеры схем подключения вибровыключателя SV01
Рис. 20. Автономные датчики мониторинга вибрации
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 22. Примеры схем подключения вибровыключателя SV01-01
www.kite.ru
28
компоненты
датчики
Рис. 23. Схема подключения вибровыключателя SV01-02
а
а б
Рис. 25. Схема подключения (а) и ее реализация (б) для промышленных датчиков с неразъемной заделкой соединительного кабеля, установленных во взрывоопасной зоне, с согласующим устройством AG01В к виброконтроллеру АР5300: АР — АР35, АР85; АР5300 — виброконтроллер; AG01В — согласующее устройство с выходными искробезопасными параметрами: U0 = 30 В, I0 = 100 мА, С0 = 0,05 мкФ, L0 = 1 мГн (монтируется на 35-мм DIN-рейку); AS05-01 — блок питания б
Рис. 24. Схема подключения (а) и ее реализация (б) для виброконтроллера АР5300 с датчиками с разъемным соединителем на выходе: АР — АР2029, АР2034, АР28, АР28 В, АР28I, АР2037, АР98, АР2038Р, АР2043, АР2082М, АР2083, АР91; АР5300 — виброконтроллер; АК — соединительный кабель АК23, АК26, АК34; AS05-01 — блок питания
Виброконтроллеры АР5300, АР5300-1 предназначены для контроля виброскорости в диапазоне 0,2–20 мм/с (частотный диапазон 10– 2000 Гц) объекта (четырех объектов для АР5300) и выдачи сигнала в виде замкнутого или разомкнутого «сухого» контакта (ток коммутации <1 А, напряжение коммутации <30 В) реле «АВАРИЯ» при превышении допустимого уровня вибрации. Встроенными индикаторами виброконтроллеров отображаются: • величина измеряемой виброскорости; • величина задаваемого порога срабатывания; • коды ошибок при обрыве кабеля и выходе из строя вибропреобразователя; • коэффициент преобразования используемого вибропреобразователя; • заданное время превышения информационным сигналом порогового значения; • состояние контактов реле «АВАРИЯ».
Виброконтроллеры АР5300, АР5300-1 обеспечивают режим питания датчиков со встроенной электроникой. Эти виброконтроллеры осуществляют: • выдачу сигнала в виде замкнутого «сухого» контакта реле «ГОТОВНОСТЬ» при исправной работе датчика и виброконтроллера; • ввод коэффициента преобразования используемого датчика; • ввод состояния — замкнутое или разомкнутое — контактов реле «АВАРИЯ» (АР5300-1); • в варианте исполнения АР5300-1I с USB и RS‑485 интерфейсами управление виброконтроллером с компьютера. На рис. 24 приведена схема подключения виброконтроллера АР5300 с датчиками со встроенной электроникой. Подключение промышленных датчиков, устанавливаемых во взрывоопасной зоне, к виброконтроллеру АР5300 производится по схеме, показанной на рис. 25. Схема подключения датчиков к виброконтроллеру АР5300-1 приведена на рис. 26. В отличие от вибровыключателей SV и виброконтроллеров АР5300, которые срабатывают при превышении вибрации пороговых уровней, автономные датчики мониторинга вибрации (АДМВ), предназначенные для мониторинга вибрации сооружений, измеряют вибрацию в соответствующем частотном диапазоне и имеют встроенный источник питания, а главное — в автономном режиме могут накапливать и хранить измеренные значения параметров вибрации в течение длительного срока.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
датчики
компоненты
29
а
б
Рис. 26. Схема подключения (а) и ее реализация (б) для однокомпонентного датчика с неразъемным соединительным кабелем к виброконтроллеру АР5300-1: АР — АР2019, АР2030, АP2031, АР85; АР5300-1 — виброконтроллер; AS05-01 — блок питания
Помимо названных особенностей, общими для всех приборов семейства АДМВ (АДМВ‑01, АДМВ‑02, АДМВ‑05, АДМВ‑06) (рис. 20) являются: • измерение параметров скорости или ускорения (для АДМВ‑05, АДМВ‑06 только ускорение) вибрации одновременно в трех взаимно перпендикулярных направлениях; • управляемая (через интерфейс пользователя) длительность и периодичность измерений, которая должна соответствовать контролируемым процессам; • время автономной работы (в режиме мониторинга без передачи информации) — до 3 месяцев (АДМВ‑01, АДМВ‑02); • сигнализация превышения пороговых значений. Чаще всего АДМВ применяется для контроля вибрации таких зданий и сооружений, на которые могут воздействовать: • работающая вблизи строительная техника (общественные и жилые здания, сооружения); • железнодорожный или автомобильный транспорт (общественные и жилые здания, сооружения); • морские волнения (мосты, причалы, морские платформы); • сильные порывы ветра (вышки, антенны, мачты, пилоны мостов) и т. п. АДМВ‑01 предназначен для мониторинга общего уровня вибрации и индикации превышения общего уровня. Под общим уровнем вибрации здесь понимается динамическая реакция объекта (механические колебания), измеряемая в ограниченном диапазоне частот, на воздействие основных источников возбуждения. Частотный диапазон, в котором сосредоточена основная энергия воздействия и отклика на него, как правило, ограничен полосой 3–200 Гц, используемой в данном приборе. Конструктивно АДМВ‑01 состоит из электронного блока и трехкомпонентного датчика с зарядовым выходом, смонтированных в одном корпусе. АДМВ‑01 может сигнализировать о превышении заданных пользователем пределов измеряемых параметров вибрации отдельно по каждому из трех направлений. Индикация превышения осуществляется светодиодом, расположенным на корпусе прибора. Основные технические характеристики АДМВ‑01 представлены в таблице. Интерфейс программы АДМВ‑01 показан на рис. 27. Автономный датчик мониторинга вибрации АДМВ‑02 в дополнение к функциям АДМВ‑01 обеспечивает дистанционную беспроводную сигнализацию превышения заданных пределов и передачу данных. К задачам, которые необходимо решать с помощью дистанционного мониторинга вибрации, можно отнести:
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 27. Интерфейс программы АДМВ‑01
Таблица. Основные технические характеристики АДМВ‑01 Характеристика
АДМВ-01
Одновременное измерение вибрации по осям Рабочий диапазон частот с затуханием на границах не более 1 дБ, Гц Диапазон амплитуды измеряемого виброускорения, м/с2 Диапазон амплитуды измеряемой виброскорости, мм/с Встроенный фильтр верхних частот со спадом амплитудно-частотной характеристики (АЧХ) не менее 20 дБ/дек. и затуханием не более 1 дБ, Гц Встроенный фильтр нижних частот со спадом АЧХ не менее 30 дБ/дек. и затуханием не более 1 дБ, Гц Пределы допускаемой основной относительной погрешности измерений виброускорения и виброскорости соответственно в диапазонах (0,5–50) м/с2 и (0,5–50) мм/с, дБ Суммарная нелинейность АЧХ в полосе частот измерения, не более, дБ Длительность одного замера τ, с Периодичность замеров t, с Максимальное количество хранимых во внутренней памяти измеренных значений по каждой оси Время непрерывной работы, месяцы Диапазон рабочих температур, °С Влажность окружающего воздуха, % Габаритные размеры, мм Масса, г Питание от двух литиевых батарей типа SL-360P
X, Y, Z 3–200 0,05–50 0,05–50 3 200 0,5 1 20,2 5–600 16 320 3 –20…+60 50–100 80×105×62 700 3,6 В
• контроль технического состояния удаленного объекта или его части; • контроль превышения предельных значений вибрации; • контроль уровня динамического воздействия; • выявление причин повышенной вибрации; • необходимость прогнозирования изменения технического состояния. Беспроводная передача данных по GSM/GPRS-каналу на заданный адрес электронной почты реализуется с помощью GPRS-модема и обеспечивает две важные функции прибора. Превышение измеряемым параметром вибрации заданного предела инициирует передачу уведомляющих и тревожных SMS-сообщений по GSM-каналу на один или более номеров сотового телефона оператора (до 4), заданных пользователем. Сигнал о превышении позволяет оператору своевременно среагировать на возникшую проблему и выбрать решение, способное предотвратить возможные последствия. Для обеспечения бесперебойного питания в режиме передачи SMSсообщений и накопленных данных по GPRS-каналу предусмотрено питание от внешнего источника. Автономный датчик мониторинга вибрации АДМВ‑05 осуществляет одновременное измерение в низкочастотном диапазоне 0,5–2000 Гц по трем направлениям и запись временной реализации www.kite.ru
компоненты
30
датчики
во внутренней памяти (более 2 300 000 точек по каждому направлению) параметров вибрации в амплитудном диапазоне 0,02–150 м/с2 в течение 14 дней. Максимальная длительность измерения до 4614 с. Максимальное количество хранимых во внутренней памяти измеренных значений по каждому направлению 23 068 672. Питание прибора обеспечивают две литиевые батареи типа SL‑360P. В приборе предусмотрена сигнализация снижения напряжения питания до нижнего предельного уровня. Управление и считывание информации прибора осуществляется через порт USB. Схема подключения АДМВ‑05 приведена на рис. 28. Автономный датчик мониторинга вибрации АДМВ‑06 осуществляет одновременное измерение в низкочастотном диапазоне 0,1– 200 Гц по трем направлениям и накопление во внутренней памяти объемом 512 Мбайт параметров вибрации в амплитудном диапазоне 0,0005–15 м/с2 в течение трех дней. В АДМВ‑06 используются высокочувствительные датчики с зарядовым выходом, позволяющие реализовать высокий динамический диапазон до 90 дБ. Конструктивно электронный блок и датчики смонтированы в одном корпусе. Питание прибора обеспечивает встроенный Li-Ion-аккумулятор. В приборе предусмотрены: • индикация заполнения встроенной памяти; • индикация режима измерения; • индикация снижения напряжения питания до нижнего предельного уровня. Управление и считывание информации прибора осуществляется через порт USB.
Заключение Возможности пьезоэлектрических датчиков определяются не только совокупностью их метрологических характеристик, не менее важной является корректность их подключения к регистрирующей аппаратуре. Приведенные в статье практические рекомендации по выбору схем подключения пьезоэлектрических датчиков будут полезны широкому кругу специалистов, занятых измерением и анализом параметров динамических процессов. Детальная проработка всех технических вопросов сопряжения датчиков с регистрирующей аппаратурой позволила компании ООО «ГлобалТест» гарантировать высокое качество измерений с помощью разработанных ею измерительных приборов.
новости
а
б Рис. 28. Схема подключения (а) и пример ее реализации (б) автономного датчика мониторинга вибрации АДМВ‑05: АР — трехкомпонентный преобразователь с зарядовым выходом АР38 Р; АДМВ‑05 — автономный датчик мониторинга вибрации; АК1 — соединительный кабель АК28; АК2 — интерфейсный кабель USB A‑A
Примечание. В продукции производства НПП «ГлобалТест» используются встроенные усилители с техническими решениями, n защищенными патентами [5–7].
Литература 1. Каталог фирмы «ГлобалТест» // www.globaltest.ru 2. Шарапов В. М., Мусиенко М. П., Шарапова Е. В. Пьезоэлектрические датчики. М.: Техносфера, 2006. 3. Архипкин Н. Ф., Редюшев А. А., Цыпленков А. Н. Электрические схемы подключения датчиков со встроенной электроникой // Вибрация машин. 2007. № 2 (9). 4. Кирпичёв А. А., Смирнов В. В., Редюшев А. А., Цыпленков А. Н. Виброконтрольная аппаратура ООО «ГлобалТест». Состояние и перспективы развития. В кн.: «Предотвращение аварий зданий и сооружений»: Сб-к научн. трудов. Магнитогорск, 2010. 5. Патент на изобретение № 2152621. Кл. С1 G01 R15/09. Архипкин Н. Ф., Кирпичёв А. А., Редюшев А. А, Шведов А. В. Опубл. 10.07.2000. 6. Патент на изобретение № 2097772. Кл. МКИ G01 H15/09. Архипкин Н. Ф., Кирпичёв А. А., Редюшев А. А. Опубл. 27.11.97. 7. Патент на изобретение № 2400867. Кл. Н01 2 41/08, G01P 15/09. Архипкин Н. Ф., Редюшев А. А., Симчук А. А., Цыпленков А. Н. Опубл. 27.10.2005.
регистратор данных
Регистратор данных ускорений по трем осям MR450 от Micronor Компания Micronor представила регистратор ускорений по трем осям MR450 — компактное автономное устройство, предназначенное для сбора данных. С его помощью можно будет оценить воздействия, которым подвергались дорогостоящие грузы в ходе транспортировки, высокотехнологичное промышленное оборудование в гарантийный период и арендованная техника в процессе эксплуатации. Устройство размещено в алюминиевом корпусе со степенью защиты IP65, таким образом, его можно прочно закрепить на контролируемом объекте. Регистратор имеет встроенный USB-порт, посредством которого производится регистрация измеренных ускорений на флэш-накопитель. Настройки параметров регистрации позволяют установить режим записи и пороговые значения ускорений отдельно для
каждой оси, при превышении которых осуществляется запись текущих измерений. Один комплект из двух элементов питания типа АА обеспечивает автономную работу устройства до двух лет. Также на флэш-накопитель, на который ведется регистрация данных, записаны настройки регистратора и программное обеспечение отображения сохраненной информации. После доставки груза получателю, завершения гарантийного периода и т. д. регистратор может быть легко демонтирован и использован вновь при очередной отправке груза или запуске нового оборудования в работу на гарантийный период. www.aviton.spb.ru
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
32
новости
отладочные средства
Отладочный набор AXSEM F143‑Mini-DVK для RF-микроконтроллеров AX8052F143 868 МГц и имеет все необходимые цепи согласования, но для оценки работы пакетного режима и возможностей модуляции может работать и на 433 МГц (однако с неоптимальными характеристиками). Модуль F143‑Mini-A‑MOD питается только от отладчика или от внешнего источника питания 1,8– 3,6 В, имеет антенный разъем SMA и штыревую антенну с усилением +1 дБи. К этому же разъему можно подключить лабораторное оборудование. F143‑Mini-B‑MOD — это компактный автономный модуль, работающий от двух часовых батарей LR44 и имеющий чип-антенну –1 дБи. В составе каждого модуля есть TCXO 48 МГц, отладочный интерфейс, UART, пять портов В/В, светодиод и кнопка. Оба модуля имеют одинаково высокие радиохарактеристики: • Ток в приеме (с учетом TCXO): 11,5 мА. • Ток в передаче: +16 дБм: 51 мА; 0 дБм: 10,5 мА. • Ток в спящем режиме (256 байт ОЗУ + таймер пробуждения): 950 нА. • Скорость обмена: от 1 до 115,2 кбит/с. • Ширина канала: от 1 до 220 кГц. • Чувствительность: –126 дБм.
Быстрый старт возможен во многом благодаря простому и функциональному программному обеспечению, в состав которого входят: • Интегральная среда разработки AXCode::Blocks — распространенная IDE с открытым исходным кодом и гибкими возможностями настройки. Написание, компиляция и отладка программ — на ассемблере и C. • Компилятор GCC — полнофункциональный компилятор C. • Генератор настроек и кода AX-RadioLab — гибкие возможности настройки радиопараметров микросхем, режимов работы и энергопотребления. Сгенерированный код можно откомпилировать и загрузить в модули, не запуская AXCode:: Blocks. Области применения радиоконтроллеров и трансиверов Axsem AX8052F153 и AX5043 включают в себя системы сбора данных со счетчиков и датчиков, охранные системы, домашнюю автоматизацию, дистанционное управление, системы M‑Bus, POCSAG и FLEX, а также любительскую радиоэлектронику. www.gamma.spb.ru
Реклама
Компания Axsem представляет миниатюрный, но полнофункциональный отладочный набор для микроконтроллеров со встроенными радиотрансиверами AX8052F143 — F143‑Mini-DVK. Несмотря на компактные размеры модуля (15×33 мм), он позволяет провести испытания всех основных режимов работы микросхем на радиочастоте 868 МГц. В состав отладочного набора входят: • Два радиомодуля: – F143‑Mini-A‑MOD с разъемом SMA для подключения антенны или измерительного оборудования; – F143‑Mini-B‑MOD с чип-антенной и двумя батарейками LR44. • USB программатор-отладчик с кабелями. • Бесплатное ПО (доступно для скачивания на сайте www.axsem.com): – AX-RadioLab; – AXCode::Blocks; – компилятор C. Основным предназначением отладки F143‑MiniDVK является быстрый старт и испытание характеристик радиоканала на основе RF-контроллера AX8052F143 или узкополосного трансивера AX5043 (именно он является радиочастью RF-контроллера). Макет рассчитан на частоту
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
датчики
Продолжение. Начало в № 1`2014
Светлана Сысоева Dr.Gold@sysoeva.com
Автомобильные датчики положения. Угловые и линейные энкодеры Холла Автомобильные датчики положения компании AKM Японская компания Asahi Kasei Microdevices (AKM) доминирует по объемам поставок датчиков магнитного поля, но широко известна прежде всего как поставщик уникальных высокочувствительных магнитометров для компасов сотовых телефонов. AKM с середины 1990 года поставляет на автомобильный рынок CMOS LSI (БИС) со смешиванием сигнала и ИС датчиков Холла, что дало высокий показатель распространения продукции этой компании в Японии. По мере достижения TS-сертификации решения от AKM распространяются по всему миру, начиная с сегмента развлечений (аудио, навигация и т. п.) и постепенно охватывая другие сферы автоэлектроники [4]. В связи с этим AKM расширяет портфолио автомобильной продукции — прежде всего для обслуживания инновационного автомобильного рынка последними продуктами БИС и датчиков. Автомобильная линейка датчиков Холла включает: • интегральные датчики линейного и углового положения; • датчики положения;
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
компоненты
33
Датчики магнитного поля. Ключевые технологии и новые перспективы. Часть 2. Инновационные датчики Холла для истинно 2D/3D-измерений В этой части статьи рассказывается об инновациях, основанных на технологиях эффекта Холла, ранжированных от 2D/3D-энкодеров до специализированных датчиков тока и магнитометров. Большинство рассмотренных предложений представляют собой передовые компоненты для воплощения и дальнейшего совершенствования метода измерения угла поворота малого дипольного магнита в диапазоне 360°. Ряд других компонентов предназначен для контроля движения как малых дипольных, так и больших многополюсных магнитов. Технические области инноваций включают автомобильные, промышленные и потребительские устройства.
• датчики тока; • датчики скорости колеса. Угловые энкодеры AKM Линейка угловых энкодеров компании AKM включает два новых 12‑битных датчика угла семейства AK74xx с автомобильной квалификацией и 10‑битный EM3242 без нее. Бесконтактный датчик угла может быть изготовлен в виде обычной простой конструкции на основе малого магнита и одной из этих интегральных микросхем. В серию AK74xx (рис. 9) входят угловые датчики с исключительно высокой чувствительностью и высокими допусками в отношении рабочих зазоров. Например, AK7401 — решение, рекомендуемое для датчика угла рулевого управления. AK7405 характеризуется 12‑битным разрешением со способностью детектирования частоты вращения до 20 000 об./мин., что делает этот датчик одним из наилучших решений для высокоскоростного детектирования. Что касается AK7401 (рис. 9а), то это 12‑битный датчик угла с автомобильной квалификацией, который определяет угловое положение магнитного поля, параллельного поверхности ИС. Ключевые признаки: • Монолитный интегрированный датчик угла в диапазоне 360°, содержащий элементы Холла.
• Его легко использовать в составе бесконтактного датчика угла с диаметрально намагниченным магнитом. • Интерфейсы: ШИМ и последовательный интерфейс (3‑выводный). • 12‑битное угловое разрешение. • Угловая точность: лучше чем ±0,95° при +25 °C. • Защита от перенапряжения. • Защита от переполюсовки. • Различное анормальное детектирование (проверка данных памяти, диапазона плотности магнитного потока и т. д.). • Функции настройки параметров подобно нулевой точке, направления вращения, блокировки памяти и пр. через последовательный интерфейс. Микросхема AK7405 (рис. 9б) — это высокоскоростной 12‑битный датчик угла с автомобильной квалификацией, который обнаруживает угловое положение магнитного поля, параллельного поверхности ИС и изменяющегося с высокой скоростью (≤20 000 об./мин.). Ключевые признаки: • Монолитный интегрированный датчик угла в диапазоне 360°, содержащий элементы Холла. • Его легко использовать в составе бесконтактного датчика углового положения с диаметрально намагниченным двухполюсным магнитом. www.kite.ru
компоненты
34
датчики
а
б
Рис. 9. Новое семейство 12‑битных датчиков угла семейства AK74xx с автомобильной квалификацией: а) схема AK7401; б) схема AK7405
• Интерфейсы: SPI (абсолютный угол), фазовый выход ABZ (инкрементальный интерфейс с числом импульсов за оборот 1024 ppr). • 12‑битное угловое разрешение. • Угловая точность: лучше чем ±1° при +25 °C. • Максимальная скорость: 333 rps (20 000 об./мин.). • Защита от перенапряжения. • Защита от переполюсовки. К другим автомобильным компонентам от AKM относятся, например, EM235L — мультиточечная программируемая ИС Холла, рекомендованная к использованию в датчиках крутящего момента, топливного уровня, педали. EG230L — программируемая линейная ИС Холла, изготовленная на основе составного полупроводника. Она отличается исключительно коротким временем срабатывания, низким шумом и низким дрейфом смеще-
ния. Эта ИС рекомендована как решение для датчиков тока в инверторах гибридных ТС. В серию AK87xx входят однокристальные энкодеры для недорогих сборок. Это пример однокристального решения для детектирования направления и счета импульсов при вращении ротора с большим числом пар магнитных полюсов без учета величины намагниченности. EM6011 и EZ410 — малошумящие ИС Холла защелкивающего типа с автомобильной квалификацией, подходящие для переключения двигателей. Высокочувствительные элементы Холла AKM рекомендуется использовать при детектировании движения двигателей вентиляторов и различных малых двигателей. Полное портфолио автомобильных (и неавтомобильных) датчиков AKM еще более обширно и включает устройства, которые находятся в разработке [4].
AMS: новое поколение высоконадежных автомобильных энкодеров Энкодеры Холла для контроля переключения бесколлекторных двигателей В начале 2013 года компания AMS AG объявила о применении своих новых энкодеров Холла AS5134 и других ИС в составе автомобильных систем Continental. AS5134 и новая микросхема AS5132 (рис. 10а, б), квалифицированные согласно автомобильному стандарту AEC-Q100, представляют собой бесконтактные магнитные датчики положения, оптимизированные для использования в высокоскоростных бесколлекторных двигателях постоянного тока (BLDC) [20]. AS5134 служит для определения углового положения ротора двигателей в трансмис-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
компоненты
датчики
а
35
б
в г
Рис. 10. Новое поколение высоконадежных автомобильных энкодеров от AMS: а, б) AS5134 — высокоскоростной и высоконадежный датчик для контроля переключения бесколлекторных двигателей; в, г) новые датчики положения AS5162 серии 60 с передовыми диагностическими функциями; а, в) функциональная схема; б, г) примеры применения
сионном блоке управления для новых коробок передач с двойным сцеплением (DoubleClutch Transmissions, DCT). DCT представляет собой передовую форму автоматических коробок передач пассажирских автомобилей. В некоторых недавно разработанных DCT для переключения передач и контроля двойного сцепления используются бесколлекторные двигатели — до четырех в одной DCT. Интегрированный модуль Continental, в состав которого входит этот датчик, переключает двигатели по ориентации магнитного поля методом, при котором входной ток к каждому статору определяется на основе опорной информации об угловом смещении ротора. Требования, которым отвечают устройства, включают точность при высокой скорости вращения и надежность. Более точные измерения угла ротора дают в результате более низкий джиттер крутящего момента, плавную работу и более высокую эффективность расхода мощности. Конкретное преимущество AS5134 состоит в повышении крутящего момента. Таким образом, двигатель
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
достигает оптимальной скорости быстрее, что позволяет снизить потребление энергии. Датчик положения магнита AS5134 характеризуется низкой латентностью распространения сигнала: время задержки входа от детектируемого магнита до вычисления углового выхода составляет порядка 22 мкс. Это допускает высокоточные измерения высокоскоростных роторов: AS5134 специфицирован для угловых измерений с шагом 1° и с точностью до ±2° при скорости вращения до 82 000 об./мин. Управляющий модуль в трансмиссии Continental представляет собой законченный интегрированный блок, который нелегко отремонтировать или заменить, и поэтому надежность компонентов в модуле, таких как датчик положения, очень важна. Подобно многим датчикам положения AMS, AS5134 отличается дифференциальной технологией, разработанной и запатентованной компанией. Это позволяет выводить сигнал, устойчивый к паразитным магнитным полям. Поэтому датчики положения AMS не требу-
ют экранирования, что помогает удерживать низкой стоимость материалов в спецификации и сборки. Новое поколение автомобильных магнитных энкодеров от AMS — примеры соответствия ISO26262 на уровне дизайна SoC. В ноябре 2012 года AMS представила высокоинтегрированные датчики углового положения серии 60 с передовыми диагностическими функциями. Они созданы специально для автомобильных систем, которые должны соответствовать стандарту ISO26262 в отношении высокой функциональной безопасности (рис. 10в, г) [19]. Новые датчики AS5161 и AS5261 (с цифровым ШИМ-выходом) и AS5162 и AS5262 (с аналоговым выходом напряжения) имеют лучшие в своем классе автомобильные защитные признаки — не только в рамках линейки этой компании, но и в сравнении со многими ее конкурентами. Датчики серии 60 позволяют автопроизводителям достигать выполнения требований стандарта ISO26262, обеспечивая более выwww.kite.ru
36
компоненты
сокую надежность, всеобъемлющий анализ эффектов прямых и косвенных опасных отказов и весьма низкий показатель по отказам во времени (Failure In Time, FIT). Дуальные версии со стекированными кристаллами в одном корпусе полностью избыточны, что позволяет использовать датчики AS5261 и AS5262 в применениях, требующих самой высокой градации безопасности, — ASIL D. В новом поколении автомобильных датчиков положения компания AMS также повысила уровень самодиагностики и усилила энергосбережение для достижения лидирующей в классе эффективности. Датчики серии 60 типично расходуют ток порядка 10 мА, а большинство конкурирующих устройств — более 15 мА. Датчики этой серии предназначены для определения углового положения в широком диапазоне автомобильных применений, включая педаль акселератора, педаль тормоза, мониторинг клиренса — высоты езды относительно колеса (chassis ride height monitor), датчик положения клапана HVAC, рулевое колесо. Устройства также рекомендованы для использования в трансмиссии: переключателе 2WD/4WD, актюаторе переключателя передач (gearshift actuator) и дроссельных применениях: EGR, тумблерной заслонке во впускном патрубке (tumble flap), при контроле положения дросселя, турбонагнетателя. Датчики измеряют угловое смещение в 16 384 точках за оборот. Интегральная нелинейность составляет 1,4° (максимум) в пределах полного оборота. Рабочий температурный диапазон — от –40 до +150 °C. Все четыре устройства имеют малое время задержки распространения: задержка между входом от детектируемого магнита и вычислением угла на выходе кристалла оценивается в 300 мкс. Это означает, что они способны обеспечивать точные измерения положения роторов, работающих на высокой скорости. Магнитные датчики положения AS5161 и AS5162 поставляются в малом корпусе SOIC8. Избыточные датчики со стекированными кристаллами AS5261 и AS5262 выпускаются в корпусе MLF16. Цена AS5161 и AS5162 — 2,7 евро при заказе от 1000 шт., AS5261 и AS5262 стоят порядка 5,12 евро. О том, что компания AMS приближается к объемному производству первых автомобильных продуктов, разработанных в соответствии с новым стандартом функциональной безопасности ISO26262, было объявлено еще в октябре 2012 года. Производство первых продуктов, разработанных в соответствии со стандартом, стартовало в 2013 году. В 2014 году AMS объявила о том, что автомобильный поставщик Continental в основе своей новой серии датчиков высоты шасси (подвески) (chassis height sensor, CPC) использует датчик AS5162 AMS [25]. Угловой энкодер AS5162 в паре с двухполюсным магнитом точно определяет угловые
датчики
перемещения с разрешением до 0,09° за оборот. Серия CPS используется в системах шасси последнего поколения и определяет их высоту относительно колес. AS5162 может входить и в другие системы определения положения, например, для контроля фар. Системы активного контроля шасси CPS критичны в плане функциональной безопасности, они должны соответствовать стандарту ISO26262, это достигается благодаря энкодерам AMS. AMS выпускает обширную линейку энкодеров Холла, которую непрерывно пополняет. Во время подготовки этой статьи к публикации компания сообщила о начале производства следующего датчика 60‑й серии — AS5263. Он представляет собой избыточный 12‑битный угловой 2D-энкодер с линейным аналоговым или ШИМ-выходом и защитой от перенапряжения. Обновления также включают микросхемы серий 50 и 55 с цифровым угловым выходным интерфейсом — 10‑битный датчик AS5050A и 12‑битный датчик AS5055A.
iC-MU: новые стандарты для ИС внеосевого магнитного энкодера — 18‑битное разрешение В 2013 году компания iC-Haus представила ИС внеосевого магнитного энкодера iC–MU с исключительно высоким 18‑битным разрешением для полых валов (hollow-shaft), датчиков с отверстием под вал (through-shaft) и линейных систем [26]. Полностью интегрированное, однокристальное устройство iC-MU (рис. 11) подходит для сканирования магнитных полюсных колес и лент в типичных системах контроля движения, включая абсолютные энкодеры положения, а также инкрементальные и переключающие энкодеры бесколлекторных двигателей. Эти положения генерируются в режиме реального времени и предоставляются через последовательный интерфейс (BiSS, SSI и SPI) и как инкрементальный сигнал без задержки обработки. Благодаря спе-
б
а
в
Рис. 11. 18‑битное разрешение для ИС внеосевого магнитного энкодера: а) пример применения; б) внешний вид; в) функциональная схема
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
датчики
а
б
Рис. 12. Инновационное семейство угловых энкодеров Micronas 3D HAL: а) блок-схема семейства 3D HAL 36xy; б) датчик HAL 3675
циальной интерполяции FlexCount можно настроить любой счет импульсов. Рекомендуемая магнитная цель для iC-MU имеет два инкрементальных трека с полюсной шириной около 1,28 мм. Для датчика достаточным является типичное рабочее расстояние 4/10 мм. В линейных системах допускается скорость движения цели до 16 м/с с разрешением абсолютного положения до 0,16 мкм на расстоянии 164 мм. Для увеличения этого расстояния устройства iC-MU могут каскадироваться или инициироваться с использованием многооборотной информации. В угловых системах или угловых энкодерах iC-MU определяет положение на скорости до 24 000 об./мин. с разрешением в пять угловых секунд. Энкодер iC-MU отличается высокой устойчивостью к магнитным помехам, что является еще одним требованием для таких устройств, за счет множественного дифференциального сканирования поля. Нецентрированный, или внеосевой дизайн магнитной системы, для которого разработан датчик, допускает применение полых валов, причем с высоким разрешением магнитных абсолютных датчиков, с первого раза.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
«Система на кристалле» интегрирует все требуемые функции на наименьшей возможной площади и представлена в 16‑контактном DFN-корпусе, для которого нужно лишь 55 мм площади на плате. iC-MU работает на 5 В в диапазоне рабочих температур от –40 до +110 °C. Семейство датчиков Холла Micronas 3D HAL В основе моделей этого семейства — кластеры со взаимно ортогональными и объединенными «классическими», или планарными, элементами Холла и «вертикальными» элементами. Это позволило создать датчик, чувствительный ко всем трем компонентам магнитного поля [7, 24]. В отличие от стандартных датчиков Холла механические допуски, приводящие к вариациям воздушного зазора, компенсируются специальным измерительным методом по технологии 3D HAL. В зависимости от комбинации используемых чувствительных элементов выделяют два семейства датчиков: HAL 36xy и HAL 38xy. HAL 36xy (рис. 12а) предназначены для измерения магнитного угла от 0° до 360° в плоскости, параллельной поверхности монтажа
компоненты
37
микросхемы. Эти устройства чувствительны к Bx/By компонентам магнитного поля и заключены в корпус SOIC‑8. Типичные применения — в качестве датчика углового положения (электронной педали газа, дроссельной заслонки, угла положения рычага подвески и т. д.) или, в более общем случае, бесконтактного потенциометра. Угловая точность HAL 36xy в диапазоне 360° составляет 1°. Что касается HAL 38xy, то датчики этого семейства предназначены для двумерного измерения магнитного угла от 0° до 360° в плоскости, перпендикулярной поверхности монтажа микросхемы (HAL 38xy также были представлены в корпусе SOIC‑8). Они чувствительны, в зависимости от типа, к Bz/Bx или Bz/By компонентам магнитного поля соответственно. Микросхемы используются в качестве датчиков линейного перемещения до 40 мм, а также как угловые датчики: положения клапана системы рециркуляции выхлопных газов, рабочего цилиндра сцепления или педали тормоза. В начале 2013 года компания Micronas расширила семейство HAL 36xy, выпустив HAL 3675. HAL 3675 разработан для детектирования углов в диапазоне от 0° до 360° и обеспечивает ШИМ выходной сигнал. Клиент может программировать интегрированную обработку сигнала для каждого из двух каналов по отдельности, то есть относительно параметров чувствительности, смещения и фазы. HAL 3675 подходит для применений, где необходима высокая надежность при работе в температурном диапазоне до +165 °C. Эта микросхема предлагается в качестве решения для каждого вида детектирования положения и угла с использованием заслонок или клапанов в автомобильной промышленности. HAL 3675 можно использовать для измерения положения дросселя, контроля рециркуляции выхлопных газов, турбонагнетателя, измерения угла поворота руля и многих других применений. Изначально микросхема была представлена в корпусе SOIC‑8. В мае 2013 года компания Micronas объявила о доступности датчиков семейств HAL 36xy и HAL 38xy на основе технологии 3D HAL и в корпусах TO92UP. По сравнению с SMD-корпусами для пайки такие корпуса более устойчивы к вибрации и высокой температуре, что особенно важно для систем, находящихся в моторном отсеке. Новый выводной корпус TO92UP выдерживает повышение температуры до 160 °C. TO92UP является альтернативой SMDкорпусам и в приложениях, где не используется печатная плата, например при обнаружении нейтрального положения коробки передач. Корпус TO92UP удобнее при сборке, если магнит не находится в конце вращающегося вала: вместо этого датчик можно разместить непосредственно рядом с валом (параллельно). 4‑контактный корпус TO92UP, несмотря на небольшой размер, обеспечивает больwww.kite.ru
компоненты
38
датчики
а б
Рис. 13. Датчики MLX90366 и MLX90367: а) пример применения; б) функциональная схема (схемы MLX90366 и MLX90367 идентичны)
шую площадь для интегральных схем. Таким образом, становится возможной интеграция функций для выполнения требований клиентов в будущих разработках. 3D-энкодеры Melexis Triaxis Melexis продолжает разработку 3D-датчиков углового положения на основе технологии Triaxis. Таким образом компания следует актуальной тенденции автомобильного рынка по отношению к функциональной безопасности (в самом простом понимании надежности или избыточности). В 2013 году Melexis ввела два новых датчика положения на основе технологии Triaxis с выходным протоколом SAE J2716 SENT: MLX90366 и MLX90367 (рис. 13). Они дополнили линейку, в которой представлено уже второе поколение датчиков Холла с этим типом выходного протокола. Введение протокола SAE J2716 SENT (Single Edge Nibble Transmission), замещающего традиционную аналоговую связь между датчиками и МК, отражает влияние общих тенденций в автомобильном и транспортном секторе в направлении более высокой функциональной безопасности и чувствительности к цене. Выпуск датчиков положения Triaxis позволяет компании оставаться пионером в области детектирования угла в диапазоне 360°, а теперь и 3D SENT решений для автомобильных применений повышенной безопасности. Микросхемы MLX90366 и MLX90367 расширяют способности устройств на основе протокола SENT, полностью обеспечивая функциональность определения положения в проблемном окружении с 12‑битным разрешением и 10‑битной точностью. Для гарантии повышенной надежности оба продукта соответствуют уровню ASIL B SEooC (Safety Elements out of Context). Кроме того, MLX90366 выпускается в инновационном корпусе Melexis Dual Mold Package — для осуществления возможности сборки No PCB
(без платы) [8]. Согласно этой концепции пассивные защитные компоненты интегрированы непосредственно в корпус ИС, за счет чего повышается надежность и снижается стоимость используемых материалов. Другой новый компонент, MLX90367, предназначен для интеграции в обычные сборки на плате и выполнен в соответствии со стандартом JEDEC в корпусах TSSOP. Следуя актуальным тенденциям по снижению потребления энергии в автомобиле, компания Melexis в 2013 году предложила новые магнитные датчики тока. Два новых устройства представляют собой линейные датчики Холла в стандартных корпусах с функциями программирования характеристик и подходят для высокоскоростного мониторинга тока батарей. Недавно Melexis объявила уже о следующем апгрейде нового датчика Холла MLX91209CA для бесконтактного измерения тока, суть которого состоит в достижении большей стабильности температурных и временных характеристик.
Специализированные датчики Холла для измерения тока 3D-датчики тока Melexis Компания Melexis уделяет особое внимание удовлетворению требований автомобильного рынка в отношении низкого потребления энергии и низкой цены компонентов. Тенденция к применению экологичных источников энергии и более высокой мобильности также означает рост потребности в сенсорных токовых устройствах следующего поколения. Как решение для таких источников энергии и конкретно — для применений в гибридных автомобилях (HEV) и электромобилях (EV), еще в начале 2013 года был представлен новый высокоскоростной программируемый датчик Холла MLX91209 (рис. 14а, б) [8]. Это полностью программируемая пользователем монолитная микросхема в стандартном SIP-корпусе,
на выходе которой генерируется высокоскоростной аналоговый сигнал, пропорциональный внешней приложенной плотности потока. SIP-корпусирование допускает удобное осуществление стандартного дизайна с ферромагнитным сердечником. Впрочем, MLX91209 не является совершенно стандартным решением без технологических 3D-усовершенствований. Датчик внесен производителем в группу разработок на основе технологии Triaxis и включает четыре элемента Холла, а не один чувствительный элемент, как было принято в большинстве стандартных решений токовых клещей, известных много лет. Но все четыре элемента нового линейного датчика MLX91209 являются планарными и чувствительны только к перпендикулярному магнитному полю, поэтому в них используется тот же стандартный для одноэлементных датчиков Холла дизайн магнитной системы токовых клещей в комбинации с кольцевым ферромагнитным сердечником. ИС Холла размещается в малом зазоре, а проводник с током — во внутренней части сердечника. Ферромагнитное кольцо концентрирует и усиливает магнитный поток, обнаруживаемый датчиком Холла, который генерирует на выходе аналоговое напряжение, пропорциональное току. MLX91209 рекомендован для точных токовых измерений постоянного тока (DC) и/или измерений переменного тока (AC) с частотой до 200 кГц. С помощью этой микросхемы пользователь может сконструировать программируемое решение для измерения тока с быстрым временем срабатывания и гальванической изоляцией. Первые инженерные образцы MLX91209CA были доступны в течение 2013 года. Их программируемый диапазон чувствительности составляет от 5 до 150 мВ/мТл. Высокоскоростной датчик Холла имеет автомобильную квалификацию и измеряет ток посредством преобразования магнитного
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
датчики
а
в
б
Рис. 14. Программируемые высокоскоростные 3D-датчики тока Triaxis Melexis: а, б) датчик тока Melexis MLX91209: а) пример применения; б) функциональные схемы (у MLX91209 и MLX91208 они идентичны); в) датчик тока Melexis MLX91208 с ИМК различных размеров и чувствительности
поля, сгенерированного токами, текущими через проводник, в напряжение, пропорциональное полю. Осенью 2013 года компания Melexis объявила о следующем апгрейде микросхемы MLX91209CA для бесконтактного измерения тока. Новый датчик представляет собой ту же высокоскоростную ИС Холла с программируемым диапазоном чувствительности от 5 до 150 мВ/мТл и подходит для измерения постоянных и переменных токов частотой до 200 кГц. Было объявлено, что, помимо высокой скорости работы (время срабатывания аналогового выхода составляет 3 мкс), этот датчик теперь характеризуется высокой стабильностью в рабочем температурном диапазоне от –40 до +125 °C в течение срока службы. Рекомендованные применения устройства включают альтернативный транспорт — гибридные и электрические автомобили, системы старт-стоп и интеллектуальные источники солнечной энергии Smart Grid. В декабре 2013 года Melexis представила свой следующий программируемый датчик Холла для измерения тока на основе технологии Triaxis — MLX91208 (рис. 14б, в) [27]. Целевые применения устройства те же — гибридные ТС, электромобили, возобновляемые источники энергии. Датчики MLX91208 имеют время срабатывания порядка 3 мкс. Инновационный дизайн обеспечивает высокую стабильность работы в течение срока службы и характеризуется
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
типичным тепловым дрейфом чувствительности в ±150 ppm/°C. Датчики демонстрируют лидирующие в промышленности характеристики чувствительности благодаря интегрированному магнитоконцентрирующему (ИМК) слою на полупроводниковом кристалле. Системы измерения тока на основе датчиков MLX91208 показывают уровень точности выше ±0,5% при комнатной температуре или до ±2% на предельных значениях температурного диапазона. Передаточная характеристика устройств MLX91208 полностью регулируется, включая параметры чувствительности, усиления и смещения, сохраняемые в памяти EEPROM. Калибровка осуществляется посредством модуляции напряжения питания или протокола программирования через соединитель Programming Through Connector (PTC). Дополнительные выводы для программирования не требуются. Рабочий температурный диапазон MLX91208 составляет –40…+150 °C, рабочий частотный диапазон — от постоянного тока до переменного частотой до 200 кГц. Корпус SO‑8 подходит для разработки компактных решений по измерению тока с высокой гальванической изоляцией. Доступны две версии устройства: MLX91208CAL с большим слоем ИМК для детектирования очень слабых магнитных полей (100–700 мВ/мТл) и MLX91208CAH, предназначенный для детектирования слабых магнитных полей (50–300 мВ/мТл).
компоненты
39
Датчики тока Allegro В начале 2013 года компания Allegro MicroSystems добавила новую опцию корпуса для своих датчиков тока (рис. 15) [28]. Например, ACS711 (рис. 15а, б) теперь доступен в низкопрофильном корпусе QFN толщиной 0,75 мм и фут-принтом 33 мм. Новое миниатюрное устройство, возможно, является самым малым линейным датчиком тока в мире. Оно предназначено для монтажа на плате и включает встроенный медный токовый проводник близ поверхности кристалла. Внутреннее сопротивление датчика составляет всего 0,6 мОм. Устройство может использоваться для измерения тока свыше 30 А, но позволяет снижать на порядок потребление мощности в сравнении с существующими резисторными решениями на основе шунтов и операционных усилителей. Применения включают контроль различных сбойных условий при подаче питания для освещения или на двигатели. Для этих целей ИС даже снабжена отдельным сбойным выходом при обнаружении сверхтока, а в целом характеризуется весьма высокой точностью, отличающей ее от конкурирующих решений. Затем был выпущен интегрированный линейный датчик тока ACS770 (рис. 15в, г), отличающийся повышенной точностью и устойчивостью к температурным воздействиям. Он состоит из прецизионной линейной микросхемы Холла с малым смещением, объединенной с медным проводящим путем, локализованным близко к кристаллу [29]. Приложенный ток течет через медный проводник, который генерирует магнитное поле, преобразуемое датчиком Холла в пропорциональное напряжение. Новое устройство предназначено для автомобильного и промышленного рынка, а также для сегмента возобновляемых источников энергии. Точность ACS770 достигается благодаря близости места формирования магнитного сигнала и преобразователя Холла при объединении со схемотехническими методами. Пропорциональное выходное напряжение формируется посредством стабилизированной ИС Холла со смешиванием сигнала, запрограммированной и откалиброванной на производстве. Собственная технология цифровой температурной компенсации также значительно улучшает точность ИС и температурную стабильность, при этом не оказывается влияния на высокочастотную работу аналогового выхода. Высокий уровень устойчивости к току проводника и разрушающим магнитным полям достигнут посредством технологии интегрированного экранирования и низкого дрейфа смещения в высоковольтных применениях на высокой стороне. Выход устройства имеет положительный наклон (>VCC/2) при возрастании тока через первичный проводящий путь (от вывода 4 к выводу 5). Внутреннее сопротивление проводящего пути составляет 100 мкОм, что обеспечивает www.kite.ru
компоненты
40
датчики
а
б
г
в
е
д
Рис. 15. Обновленное портфолио специализированных датчиков Холла Allegro для измерения тока: а, в, д) внешний вид; б, г, е) функциональные схемы датчиков; а, б) новая опция тонкопрофильного корпуса датчика ACS711 для изоляции менее 100 В; в, г) прецизионный интегрированный линейный датчик тока ACS770 с улучшенными температурными свойствами; д, е) малошумящий высокопрецизионный цифровой датчик ACS764 для компьютерных, промышленных и потребительских применений
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
датчики
низкие потери мощности. Толщина медного проводника допускает высокие токи. Выводы проводящего пути и сигнальные выводы электрически изолированы друг от друга. Поэтому ACS770 рекомендован для систем с высокой изоляцией, но без использования оптоизоляторов. Эта микросхема поставляется в бессвинцовом 5‑выводном корпусе. Следующим дополнением к портфолио интегральных датчиков тока Allegro MicroSystems стала малошумящая высокопрецизионная цифровая микросхема ACS764, разработанная для компьютерных, промышленных и потребительских применений с напряжением менее 100 В. ACS764 Allegro (рис. 15д, е) — первая цифровая микросхема, разработанная для рынка серверных, телекоммуникационных систем и мониторинга мощности [30]. Программирование при смещении и усилении, включая температурные коэффициенты, стабилизирует смещение и усиление в рабочем температурном диапазоне, снижая суммарную погрешность до менее чем 2% в рабочем температурном диапазоне. Проводник с низким сопротивлением исключает потребность во внешнем резисторе шунта и, благодаря эффекту Холла, исключает ошибку, ассоциированную с изменением сопротивления из-за температуры. Устройство допускает 16 уникальных адресов шины I2C, выбираемых посредством внешних выводов. Стандартные опции полного диапазона ACS764 составляют 16 и 32 A. Устройство также включает цифровой выход при сбойных условиях и внутренний усредняющий фильтр, которые конфигурируются посредством шины I2C. Вход цифровой синхронизации обеспечивает возможность получения множественных сенсорных прочтений. ACS764XLFTR-T поставляется в компактном 24‑выводном QSOP-корпусе, практически бессвинцовом и совместимом со стандартными бессвинцовыми сборочными процессами печатных плат. AKM EG230L — программируемый линейный автомобильный датчик тока Линейные датчики Холла компании AKM состоят из элементов Холла и усилителя, которые заключены в одном корпусе. Они выводят аналоговое напряжение пропорционально плотности магнитного потока. Программируемые линейные ИС Холла имеют EEPROM. Поэтому потребители сами могут регулировать магнитную чувствительность и напряжение смещения. Для автомобильных клиентов компания AKM предлагает два программируемых компонента с автомобильной квалификацией [4]. Магнитные датчики AKM предназначены для использования в качестве датчиков тока инверторов и BMS в гибридных и электрических ТС. EG230L (рис. 16) — программируемая линейная ИС Холла, изготовленная из состав-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
компоненты
41
Рис. 16. Программируемая линейная ИС Холла AKM EG230L для измерения тока
ного полупроводника. Она отличается коротким временем срабатывания, низким шумом и низким дрейфом смещения и разработана как специальное решение для датчиков тока в инверторах гибридных ТС. В свою очередь мультиточечная программируемая линейная ИС Холла EM235L с низким дрейфом смещения и малой температурной зависимостью подходит для использования в токовых датчиках BMS (мониторинга батареи). ИС Холла EG230L подходит для использования в автомобильных системах контроля тока. Ключевые признаки: • EG230L состоит из элемента Холла из материала GaAs и обрабатывающей ИС. • Аналоговый пропорциональный выход. • Диапазон рабочих температур: –40…+125 °C. • Быстрое срабатывание при изменении магнитных полей: типично — 2 мкс. • Одиночный источник напряжения питания: от 4,75 до 5,25 В. • 3‑выводный корпус SIP толщиной 1,2 мм. • Функция выбора кристалла при программировании EEPROM. • Программирование в EEPROM посредством модуляции напряжения питания. У компании Micronas также имеется специализированное решение программируемого в EEPROM датчика тока CUR 3115 c ферромагнитным концентратором. Он предназначен для контроля работы батарей в гибридных или электрических автомобилях, системах старт-стоп или индукционных печах. Одним из событий 2013 года, заслуживающих упоминания, также можно назвать референсный дизайн для микросхемы сбора данных с LIN-трансивером AS8515, осуществленный AMS и представляющий собой автомобильный интеллектуальный датчик
тока батареи — крупномодульное решение уровня «системы на плате». Миниатюрный прецизионный датчик тока TLI4970 от Infineon В марте 2014 года Infineon Technologies AG объявила о том, что запускает в производство новый высокопрецизионный цифровой датчик тока TLI4970 (рис. 17), для которого, по заявлению компании, требуется лишь шестая часть пространства платы, занятого существующими датчиками на рынке. Это один из первых токовых датчиков с цифровым интерфейсом (SPI). Измерительный принцип устройства Датчик включает элементы Холла без концентраторов магнитного поля и предназначен для измерения магнитных полей токонесущих проводников. Токовые полосы интегрированы в корпус датчика, также включающего дифференциальные усилители и фильтры. Микросхема осуществляет обработку сигнала и гальванически изолированные измерения при рабочем напряжении до 600 В и испытательном напряжении до 3600 В. TLI4970 способен измерять переменные и постоянные токи в диапазоне до ±50 A. Полностью цифровой датчик не требует внешней калибровки. Дифференциальный измерительный принцип позволяет подавлять внешние магнитные поля и влияние температуры. Благодаря подавлению разрушающих полей датчик весьма устойчив к ним. Устройство достигает малого смещения в 25 мА. Оно способно производить высокоточные измерения даже после многих лет работы без снижения уровня контроля качества и эффективности. www.kite.ru
компоненты
42
датчики
а
б Рис. 17. Миниатюрный прецизионный датчик тока TLI4970 Infineon: а) внешний вид и пример применения; б) функциональная схема
TLI4970 включает отдельные структуры для измерения температуры и механических напряжений, что повышает эффективность их компенсации и долговременную стабильность. Датчик обеспечивает дополнительные функции, например быстрое обнаружение чрезмерного тока относительно предварительно сконфигурированного уровня. В случае закорачивания внешней схемы и чрезмерных токов TLI4970 обеспечивает параллельный путь сигнала, что допускает обработку ошибок с задержкой менее чем в 3 мкс непосредственно в схеме драйвера или в МК. Системные разработчики могут программировать точную настройку обнаружения чрезмерных токов. TLI4970 рекомендован для использования в высокоэффективных, надежных и недорогих солнечных инверторах, устройствах заряда, источниках питания, электроприводах и для контроля энергосберегающих светодиодных блоков освещения. Массовое производство датчика начнется в мае 2014 года, а образцы уже доступны. TLI4970 будет поставляться в компактном корпусе TISON (Thin Interstitial Small Outline No leads) SMD. Его размеры — 771 мм, поэтому микросхема подходит для недорогого автоматического монтажа. Еще одним важным событием является выпуск вертикального двухэлементного датчика Холла TLE4966V с функциями определения скорости и направления вращения многополюсного магнитного ротора. Изменение ориентации датчика на 90° повышает гибкость дизайна в системах с ограниченным пространством.
Устройства человеко-машинного интерфейса
а
К о м п а н и я R o h m р е ко м е н д уе т д л я контроля клавиш прокрутки и трекболов самые простые ИС Холла — ключи. Немногочисленные примеры более сложных устройств включают 2D-датчики положения джойстика EasyPoint AS5013 и 3D-датчики джойстиков MLX90333 на основе технологии Melexis Triaxis. Акцентируем свое внимание на устройствах магнитного наведения от AKM, представленных позднее других [4].
б
Рис. 18. Устройства аналогового наведения (Analog Pointing Devices) AKM: а) двухосевая линейная микросхема Холла EQ0441 для устройства магнитного наведения; б) принцип работы
Устройства аналогового наведения (Analog Pointing Devices) от AKM EQ0441 (рис. 18) — двухосевая линейная микросхема Холла для устройства магнитного наведения. Ключевые признаки: • ИС Холла для аналоговых устройств наведения магнитного типа, состоящая из четырех высокочувствительных элементов Холла и обрабатывающей схемы. • 20‑выводный тонкий и малый корпус. • Интегрированный 8‑битный АЦП: коды X‑Y положения передаются посредством последовательного интерфейса.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
датчики
• Ультрамалое потребление мощности благодаря функции Powerdown: типично 6 мкА в режиме внешней синхронизации. • Работает с внешним и внутренним тактированием. • Сигнал прерывания при выходе указателя за пределы запрограммированного расстояния. • Программируемая чувствительность для согласования геометрии, положения и материала магнита.
Электронные компасы на основе эффекта Холла AKM Asahi Kasei Microdevices (AKM) — лидирующий производитель 3‑осевых электронных компасов на основе эффекта Холла для потребительских применений. ИС электронных компасов AKM представляют собой датчики магнитного поля с широким динамическим диапазоном и практически идеальной линейностью. Монолитная кремниевая структура характеризуется высокой точностью и надежностью и оптимизирована для высокообъемного производства. Электронные компасы AKM, основанные на этой технологии, могут с высокой точностью детектировать направление азимута. Они широко используются в продуктах с ограниченным пространством. Компания, специалисты которой обладают обширными знаниями в сфере компасов, активно сотрудничает с поставщиками навигационных систем пешеходов GPS в устройствах наподобие смартфонов, мобильных планшетов или ПК. AKM поставляет не только аппаратную часть, но и компенсационное ПО DOE (удостоенное награды the Imperial Invention Award 2012), а также другие программные приложения, которые повышают качество пользования электронными компасами. Объединение аппаратной, программной частей и технической поддержки является сильным преимуществом электронных компасов AKM. В дальнейшем компания собирается сделать акцент на разработке новых компактных продуктов с более высокими рабочими характеристиками и низким энергопотреблением. Примером является представленный в конце 2013 года AK09911 — высокочувствительный 3‑осевой электронный компас на основе эффекта Холла. AK09911 — высокочувствительный 3‑осевой электронный компас на основе эффекта Холла AK09911 представляет собой монолитный магнитный датчик Холла с интегрированным на кремниевом кристалле магнитным концентратором. Малый корпус AK09911 включает в себя сенсорные элементы — датчики магнитного поля для обнаружения земного магнетизма по осям X, Y и Z, схему управления, цепи усилителя сигнала и арифметические схемы для обработки сигнала от каждого датчика (рис. 19). Есть и функция самодиагностики. Аналоговые цепи, цифровая логика, блоки питания и интерфейса также интегрированы на чипе. Благодаря
Рис. 19. AK09911 — высокочувствительный трехосевой магнитометр на основе эффекта Холла
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
компоненты
43
малому фут-принту и тонкому корпусу датчик подходит для прокладки маршрутов до цели в GPS-оборудованных сотовых телефонах или планшетах для реализации пешеходной навигации. Трехосевой магнитометр имеет следующие ключевые особенности и функции: • 3‑осевой магнитометр с высокой чувствительностью на основе технологии датчиков Холла: устройство подходит для применения в составе компасов. • Встроенный АЦП для вывода данных магнитометра. • Чувствительность: 0,6 мкТл/LSB (номинал). • Широкий динамический диапазон и высокое разрешение с низким потреблением тока: – Разрешение выходных данных: 14 бит (0,6 мкТл/LSB) по каждой из трех осей. – Диапазон измерений: ±4900 мкТл. • Цифровой последовательный интерфейс: – Интерфейс I2C для управления функциями AK09911 и считывания измеренных данных внешним процессором. – Выделенный блок питания для шины I2C может работать при низком напряжении (до 1,65 В). – Стандартный высокоскоростной режим (до 2,5 МГц), совместимый со спецификацией Philips I2C Ver.2.1. • Режимы работы: Power-down, однократное измерение, непрерывное измерение, самотестирование и предохранитель доступа ROM. • DRDY — функция для измерения готовности данных. Вывод DRDY и регистр информируют систему о том, что измерение заканчивается и набор данных в регистрах готов для чтения. • Функция мониторинга переполнения магнитного датчика. • Синхронизация: – Встроенный осциллятор для источника внутренней синхронизации. – Устройство работает на основе кристального осциллятора и не требует источника внешней синхронизации. • Схема сброса при включении. • Функция самодиагностики с внутреннего магнитного источника служит для подтверждения работоспособности магнитного датчика в конечных продуктах. • Рабочая температура: –30…+85 °C. • Номинальное напряжение питания: – Аналоговый источник питания: 2,4–3,6 В. – Питание цифрового интерфейса: 1,65 В для аналогового напряжения. • Потребление тока: – В режиме Power-down: 3 мкА (номинал). – В режиме измерения: средняя потребляемая мощность при частоте повторения в 100 Гц: 2,5 мА (номинал). • Корпус: WL-CSP 8‑контактный AK09911C (BGA): 1,21,20,5 мм (стандартный). Высокочувствительный трехосевой магнитометр адаптирован к осуществлению пешеходной городской навигации и рекомендован для использования в составе сотовых телефонов и других портативных приборов. AKM — абсолютный лидер в сфере магнитометров на основе эффекта Холла, как по объемам, так и с технологической точки зрения. Эта компания достигла столь высокой чувствительности эффекта Холла благодаря оригинальной технологии с использованием ИМК. Другие компании используют для магнитометров технологии АМР и ТМР. Все они вместе с ГМР объединены общим названием XMR, где первая буква уточняет тип используемого магниторезистивного эффекта. Все XMR-магниторезистивные технологии обладают широким набором преимуществ по сравнению с датчиками Холла. Поэтому полный спектр инноваций охватывает энкодеры, датчики скорости, ключи, магнитометры и любые прежде существующие и возникающие сейчас применения, для которых теперь имеется большой выбор альтернативных XMR-решений, компонентную базу которых мы рассмотрим в следующей части статьи. n www.kite.ru
компоненты
44
датчики
Литература 25. Новый высоконадежный датчик Холла ams AS5162, совместимый со стандартом ISO26262, — в основе серии датчиков CPS Continental. http://www. innovationsinsightmag.com/news/novyy-vysokonadyozhnyy-datchik-hollaams-as5162‑dlya-sistem-avtomobilnoy-bezopasnosti 26. iC-MU: ИС внеосевого магнитного энкодера устанавливает новые стандарты — 18‑битное абсолютное разрешение для полых валов hollow-shaft, датчиков с отверстием под вал through-shaft и линейных систем. http:// www.innovationsinsightmag.com/news/ic-mu-vneosevogo-magnitnogoenkodera-ustanavlivaet-novye-standarty‑18‑bitnoe-absolyutnoe 27. MLX91208 Melexis — новые программируемые датчики Холла Melexis для измерения тока семейства Triaxis IMC-Hall. http:// www.innovationsinsightmag.com/news/mlx91208‑melexis-novyeprogrammiruemye-datchiki-holla-melexis-dlya-izmereniya-toka-semeystva
новости
28. Allegro MicroSystems, Inc. вводит инновационную опцию корпуса для портфолио ИС токовых датчиков. http://www.innovationsinsightmag.com/ news/allegro-microsystems-inc-vvodit-innovacionnuyu-opciyu-korpusadlya-portfolio-tokovyh-datchikov 29. ACS770 Allegro — новый высокоточный датчик тока с улучшенными температурными свойствами. http://www.innovationsinsightmag.com/ news/acs770‑allegro-novyy-vysokotochnyy-datchik-toka-s‑uluchshennymitemperaturnymi-svoystvami 30. Новый малошумящий и высокоточный цифровой датчик тока ACS764 Allegro Microsystems. http://www.innovationsinsightmag.com/news/novyymaloshumyashchiy-i‑vysokotochnyy-cifrovoy-datchik-toka-acs764‑allegromicrosystems 31. TLI4970 Infineon — новый миниатюрный датчик для прецизионных измерений тока. http://www.innovationsinsightmag.com/news/tli4970‑infineonnovyy-miniatyurnyy-datchik-dlya-precizionnyh-izmereniy-toka
разъемы
Соединители типа SMPM-T Применяемый способ соединения обеспечивает высокую стабильность электрического контакта и фазовых характеристик при механическом воздействии, например вибрационных нагрузках. Устройства типа SMPM-T изготавливаются из материалов в соответствии с требованиями NASA, а также директивами RoHS и REACH. Уникальные характеристики соединителей типа SMPM-T отвечают самым строгим требованиям авиационной и космической отраслей.
Реклама
www.hubersuhner.ru
Реклама
Компания «ХУБЕР+ЗУНЕР АГ» (HUBERSUHNER) представила самый компактный тип соединителей с резьбовым способом соединения под названием SMPM-T, не имеющий аналогов на рынке. Это уникальная и инновационная комбинация розетки типа
SMPM, соответствующей стандарту MIL-STD‑348, с дополнительной подвижной гайкой. Соединитель типа SMPM-T обеспечивает высокую стабильность электрических характеристик в диапазоне рабочих частот до 67 ГГц. Малые габариты позволяют размещать соединители на приборной панели с высокой плотностью. Расстояние между центральными контактами соседних соединителей может составлять 5 мм. В соединенном положении пара SMPM-T обеспечивает снижение габаритных размеров на 75%, а веса — на 85% в сравнении с парой моделей типа SMA.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
компоненты
46
установочные и коммутационные элементы
Проходная панельная клемма Weidmüller OMNIMATE PGK 4 — эффективное решение в условиях ограниченного пространства Роман Устинов roman.ustinov@weidmueller.ru
Проходная панельная клемма OMNIMATE PGK 4 с технологией соединения push in (www.push-in.com) — удобное и экономичное решение для коммутации через панель проводников сечением до 4 мм2 в наконечнике.
Введение В современных электротехнических устройствах увеличение плотности монтажа и работа в ограниченном пространстве уже давно стали реальностью. Но это не значит, что нужно забыть об удобстве и наглядности при монтаже и техническом обслуживании этих устройств. Наоборот — при работе в условиях ограниченного пространства предъявляются повышенные требования к простоте монтажа и информативности маркировки. Увеличение количества соединений в устройствах приводит к необходимости применения передовых технологий подключения для сокращения времени монтажа.
Преимущества при использовании PGK 4 — новая серия проходных панельных клемм компании Weidmüller. Они отличаются такими качествами, как гибкость, простота установки, высокая скорость монтажа и удобство использования. Передовая технология соединения push in, модульная конструкция, удобная и надежная фиксация, информативная маркировка, широкий диапазон сечений подключаемых проводников,
а Рис. 2. а) Просто вставить; б) просто зафиксировать
Рис. 1. Новая серия проходных панельных клемм PGK 4 компании Weidmüller
компактная конструкция и высокие электрические характеристики — вот основные составляющие, благодаря сочетанию которых
б
можно просто и быстро реализовать коммутацию токовых цепей через стенку шкафа или корпус прибора (рис. 1). Простой монтаж и удобное использование Когда речь заходит о серийном производстве, такие, в общем-то, незначительные вещи, как простота установки и монтажа, выходят на первый план. Чем проще изделие в использовании, тем меньше требуется времени и уменьшается вероятность ошибочных действий. Проходные панельные клеммы PGK 4 компании Weidmüller устанавливаются чрезвычайно просто. Следует: • набрать клеммы в пакет согласно спецификации; • вставить их в отверстие панели (рис. 2а); • зафиксировать (рис. 2б).
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
установочные и коммутационные элементы
компоненты
47
Для извлечения фиксатора его нужно слегка приподнять и потянуть на себя. Использование в условиях ограниченного пространства Часто возникает ситуация, когда необходимо организовать коммутацию токовых цепей через панель и вести монтаж в условиях ограниченного пространства. Использование проходных панельных клемм PGK 4 компании Weidmüller в такой ситуации возможно благодаря тому, что инструментальные операции по монтажу или демонтажу проводятся с той же стороны, куда направлены выходы проводов, — перпендикулярно монтажной панели. Следовательно, не требуется места для размещения инструмента при монтаже: он располагается с той же стороны, что и провода. Кроме того, для подсоединения одножильных проводов, а также многожильных проводов в наконечниках инструмент не требуется вовсе. Монтаж осуществляется интуитивно понятным способом — непосредственной вставкой провода в зажим (рис. 3).
Рис. 3. Вставка провода в зажим
Рис. 5. Время монтажа в зависимости от типа провода и технологии соединения
Так же как и в других технологиях соединения компании Weidmüller, в технологии push in соблюдается общий принцип — «Сталь — для жесткости, медь — для проводимости». Элемент, обеспечивающий прижатие провода к токоведущей шине, изготавливается из стали, что позволяет прикладывать достаточное усилие, а токоведущая шина — из меди, за счет чего достигается малое падение напряжения на контакте. Технология соединения push in проста: монтаж одножильных проводов или проводов в наконечниках производится без применения инструментов. Такое соединение не требует технического обслуживания и обеспечивает защиту от вибрации. Увеличение скорости монтажа — снижение затрат Благодаря использованию технологии соединения push in возрастает скорость монтажа. Это особенно заметно при работе
с одножильными проводами или проводами в наконечниках: экономия времени по сравнению с классическим пружинным зажимом может достигать 50%, а по сравнению с винтовым зажимом — 80% (рис. 5). Кроме того, за счет технологии соединения push in можно уменьшить вероятность некачественного монтажа, так как пружина (по сравнению с винтовым соединением) не требует контроля момента затяжки и обеспечивает постоянное усилие прижима. Модульность конструкции — упрощение логистики PGK 4 имеет модульную конструкцию (рис. 6). Количество возможных контактов — от 2 до 40, но для использования этих 39 вариантов нужно всего 4 артикула (табл. 1). Это значительно снижает количество необходимой номенклатуры на складе и упрощает логистику.
Вибростойкое соединение push in Для соединения проводов в проходных панельных клеммах Weidmüller OMNIMATE PGK 4 используется передовая технология push in (рис. 4).
Рис. 4. Технология соединения push in
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 6. Модульная конструкция PGK 4
www.kite.ru
компоненты
48
Таблица 1. Артикулы комплекта компонентов для клеммы PGK Артикул
Обозначение
Описание
1288470000 1288590000 1288600000 1288610000
PGK 4 BK PGK 4 BT BK EPL PGK4 BK VREL PGK4 OR
Концевая клемма Средняя клемма* Концевая пластина Фиксатор**
Примечания. * Количество из расчета N–1, где N — общее количество контактов. ** Количество из расчета один фиксатор на четыре клеммы.
Конструктивные особенности Маркировка В клеммах PGK 4 есть место для установки стандартной маркировки DEK 5/5 MCS с двух сторон, таким образом, при любом положении клеммы информация доступна для про-
установочные и коммутационные элементы
чтения. Это повышает удобство эксплуатации и позволяет избежать ошибок при монтаже. Маркировка поставляется в формате мультикарты, совместимой с системой печати PrintJet ADVANCED. Полоса маркировки отделяется от мультикарты и переносится на клеммный ряд (рис. 7). Это значительно экономит время на маркировку по сравнению с установкой одиночных элементов (маркеров) и, что немаловажно, снижает вероятность ошибочной маркировки. Для заказа доступны восемь стандартных цветов (табл. 2). Поскольку пользователь имеет возможность выбора цвета маркировки, то кроме символьной информации ему доступна цветовая дифференциация групп проводов. Это позволяет визуально разделить цепи по их назначению и облегчает общее восприятие. Контрольная точка Так как в клеммах PGK 4 есть встроенная контрольная точка, быстрое и безопасное проведение функциональной диагностики или технического обслуживания возможно в любое время. Использование тестового щупа 0180400000 PS 2.3 делает проверку удобной (рис. 8). Для панелей разной толщины Благодаря специальной конструкции фиксирующего элемента, клеммы PGK 4 можно крепить на панелях произвольной толщины (от 1,5 до 3 мм).
Технические характеристики Рис. 7. Полоса маркировки отделяется от мультикарты и переносится на клеммный ряд
Таблица 2. Восемь стандартных цветов маркировки Артикул
Обозначение
Цвет
1609801693 1609801687 1609801688 1609801691 1238340000 1609801686 1457550000 1609801044
DEK 5/5 MC-10 NE BL DEK 5/5 MC-10 NE GE DEK 5/5 MC-10 NE GN DEK 5/5 MC-10 NE GR DEK 5/5 MC-10 NE OR DEK 5/5 MC-10 NE RT DEK 5/5 MC-10 NE SW DEK 5/5 MC-10 NE WS
Синий Желтый Зеленый Серый Оранжевый Красный Черный Белый
Основные технические характеристики проходных панельных клемм PGK 4 приведены в таблице 3. Материал и класс горючести Материал корпуса проходных панельных клемм PGK 4 — Wemid, модифицированный полиамид с повышенным на 20 °C по сравнению с обычным полиамидом (+100 °C) верхним пределом допустимой температуры и классом горючести V‑0 (у полиамида — V‑2). Wemid соответствует требованиям пожарной безопасности на железнодорожном транспорте
Рис. 8. Подключение тестового щупа
Таблица 3. Основные технические характеристики проходных панельных клемм PGK 4 Характеристики (в соответствии с IEC 60664-1 / IEC 61984)
Значение
Длина зачистки, мм Номинальный ток при температуре окружающей среды +20 °С, А Номинальное напряжение для категории перенапряжения III и 3-й степени загрязнения, В Материал изолятора Уровень горючести согласно UL 94 Материал контакта Покрытие контакта Количество контактов Толщина панели, мм
12 32 500 Wemid V-0 Медный сплав Лужение От 2 до 40 1,5–3
NF F 16 101. Кроме того, этот материал не содержит галогенов и фосфора, что обеспечивает возможность применения его в будущем. Подключаемые провода Проходные панельные клеммы PGK 4 имеют широкий диапазон сечений подключаемых проводов: от 0,5 до 4 мм2 (кроме многожильных проводов с кабельным наконечником с изолятором) (табл. 4). Таблица 4. Диапазон сечений подключаемых проводов Диаметр, мм2 Провод Одножильный H05(07) V-U Гибкий многожильный H05(07) V-K С кабельным наконечником DIN 46 228/1 (без изолятора) С кабельным наконечником DIN 46 228/4 (с изолятором)
Min
Max
0,5 0,5
4 4
0,5
4
0,5
2,5
Заключение Проходные панельные клеммы PGK 4 компании Weidmüller — это удобное и компактное решение для коммутации токовых цепей даже в условиях ограниченного пространства. Инновационная технология соединения push in позволяет легко, интуитивно понятным способом подключать провода широкого диапазона сечений как снаружи, так и внутри шкафа или прибора. Благодаря модульной конструкции можно просто и быстро собрать клемму с необходимым количеством полюсов. n
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
Реклама
49
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
www.kite.ru
компоненты
50
генераторы
Обзор кварцевых генераторов GEYER ELECTRONIC
Вячеслав Гавриков
К
омпания GEYER ELECTRONIC была основана в 1964 г. и уже несколько десятилетий является одним из лидеров в сфере производства кварцевых резонаторов, генераторов и аналогичных продуктов для формирования частотных сигналов. В дополнение к этому фирма развивает свою деятельность в таких областях, как электрические батареи, аккумуляторы и технологии их зарядки. Высочайшее качество, соответствие высоким требованиям надежности и безопасности — главные особенности продукции фирмы. Чтобы охарактеризовать основные параметры генераторов, стоит вначале рассмотреть принцип действия кварцевых резонаторов и генераторов.
Принцип действия кварцевых резонаторов и генераторов Принцип работы кварцевых резонаторов основан на применении пьезоэлектрического эффекта. Некоторые вещества и кристаллы обладают несимметричной структурой (ацентрические кристаллы). Механические силы, действующие на такие кристаллы, вызывают в них не только механические напряжения, но и электрическую поляризацию. В результате на поверхности кристалла образуются заряды. Такой эффект и называют прямым
Рис. 1. Эквивалентная схема кварцевого резонатора
Компания GEYER ELECTRONIC выпускает широкую номенклатуру генераторов тактовых импульсов: стандартные, термокомпенсированные, с подстройкой частоты управляющим напряжением, с различной формой и уровнями выходного сигнала. В статье приведен обзор предлагаемых устройств.
пьезоэлектрическим эффектом, а кристаллы, соответственно, пьезоэлектриками. Самым распространенным пьезоэлектрическим материалом являются кристаллы кварца. Существует и обратный пьезоэффект: при воздействии на пьезоэлектрик электрического поля в его структуре возникают механические деформации. Кварцевый резонатор представляет собой специальным образом распиленный, обработанный и сориентированный кристалл кварца, с внешними электродами, расположенными с противоположных сторон. В процессе работы такой резонатор использует и прямой, и обратный пьезоэффект, в нем происходит постоянное преобразование электрического поля в механические деформации и обратно. Однако, с точки зрения электрической схемы, эти механические колебания остаются в стороне, хотя играют важнейшую роль, поскольку они во многом определяют резонансную частоту. Внешне конструкция резонатора напоминает конструкцию конденсатора, но наличие пьезоэффекта определяет некоторые особенности его поведения. Характер изменения проводимости в области частот, близких к резонансу, оказывается таким же, как и у колебательного контура, что позволяет применять эквивалентную схему замещения. Эквивалентная электрическая схема кварцевого резонатора содержит четыре элемента (рис. 1). Элементы L1, C1, R1 называют динамическими или эквивалентными индуктивностью, емкостью и сопротивлением соответственно. Емкость С0 называют параллельной емкостью. Такая схема хорошо объясняет наличие резонансной частоты. Кварцевый генератор представляет собой комплексный компонент, который содер-
Рис. 2. Схема включения стандартного кварцевого генератора
жит генератор, кварцевый резонатор и цепи управления. Простейшая схема включения кварцевого генератора требует только подачи питающего напряжения (рис. 2). Генераторы имеют целый ряд важных параметров, определяющих их применимость в тех или иных случаях.
Основные параметры кварцевых генераторов Все генераторы имеют ряд общих параметров. Частота Основной параметр генератора. Значение частоты колебаний генератора может лежать в очень широких пределах — от единиц кГц до тысяч МГц. По характеру возможности изменения частоты генераторы делят на две группы: • стандартные и прецизионные генераторы с фиксированной частотой (Crystal Oscillator, XO и Precision Crystal Oscillator, PXO); • генераторы с подстраиваемой частотой, например с частотой, управляемой напряжением. Для генераторов, управляемых напряжением и не использующих стабилизацию частоты кварцевым резонатором (Voltage Control Oscillators, VCO), указывают чувствительность подстройки (Tuning Sensitivity, МГц/В). Кварцевые генераторы, управляемые напряжением и использующие стабилизацию частоты кварцевым резонатором (Voltage Control Crystal Oscillators, VCXO), имеют небольшой диапазон подстройки частоты изза высокой добротности кварцевого резонатора. Для них указывают диапазон подстройки (Frequency Adjustment/Pullability/Pulling Range, ppm). Стабильность частоты Кварцевый генератор обладает высокой стабильностью благодаря высокой стабильности кварцевого резонатора. Однако стоит помнить, что на стабильность резонатора могут влиять различные факторы: темпера-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
генераторы
тура, старение, давление, радиация, механические воздействия. Как правило, основным дестабилизирующим фактором является температура, поэтому в документации указывают стабильность частоты для конкретного температурного диапазона. С целью уменьшения температурной зависимости используют два основных метода: термостатирование и термокомпенсирование. В термокомпенсированных кварцевых генераторах (Temperature Compensated Crystal Oscillator, TCXO) используются специальные электрические цепи и элементы, которые обеспечивают автоматическую подстройку частоты. В качестве таких элементов могут выступать термисторы и варикапы, которые также имеют температурную зависимость, помогающую компенсировать зависимость резонатора. Джиттер Джиттер (jitter) характеризует фазовое случайное «дрожание» сигнала (рис. 3). Реальный генератор дает не идеальную частоту сигнала: значения длительности каждого периода отличаются друг от друга. Для характеристики этого «дрожания» применяют: • случайный джиттер (random jitter); • максимальный джиттер (peak-to-peak jitter). Симметричность Симметричность (symmetry) сигнала — соотношение длительности полупериода сигнала к полному периоду (рис. 3). Важным является как само значение симметрии, так и его допуск на точность. Длительность фронтов Длительность фронтов (rise & fall time max) — это время нарастания и спада входных сигналов (рис. 3). Данный параметр важен для приемников тактового сигнала, склонных к возникновению глитчей. Как правило, он не является критичным, если и генератор, и приемник сигнала соответствуют стандартам на уровни и форму сигналов. Время запуска Время запуска (start up time) — это время, проходящее от момента подачи питания на микросхему генератора до возникновения стабильного тактового сигнала. Напряжение питания Для стандартных генераторов напряжение питания определяет амплитуду выходного сигнала. Соответственно, генератор необходимо выбирать с учетом логических уровней напряжения используемых логических схем (процессоров, микроконтроллеров и т. д.). Одним из способов актуального для современной электроники сокращения потребляемой мощности является уменьшение питающих напряжений: чем меньше питающее напряжение, тем меньше потери мощности и токи потребления.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
компоненты
51
Рис. 3. Параметры тактового сигнала
Ток потребления Как было сказано выше, ток потребления будет увеличиваться с ростом частоты и напряжения. Поэтому производители указывают ток потребления для определенного диапазона частот и конкретных напряжений. Например, в таблице 1 приведены значения потребляемого тока для серии KXO-V95. Таблица 1. Ток потребления KXO-V95 для различных режимов Ток потребления (типовой), мА Частота, МГц +1,8 В
+2,5 В
+3/+3,3 В
3,5 4,5 6
4 4 6
4 6 9
1–20 20,1–50 50,1–70
Тип выходного сигнала Существует множество разновидностей выходных сигналов генераторов, отличающихся по форме и уровню напряжения. Основной причиной такого многообразия является необходимость борьбы с помехами. Одним из главных источников помех может стать тактовый сигнал генератора. Для уменьшения помех кроме стандартных генераторов с прямоугольным выходным сигналом применяют генераторы с выходными сигналами особой формы: • с синусоидальным выходным сигналом; • с выходным сигналом в виде усеченной синусоиды; • с распределенным спектром. Использование синусоидального сигнала позволяет избавиться от более высокочастотных составляющих спектра, характерных для
прямоугольного сигнала. Генераторы с распределенным спектром (Spread Spectrum Oscillator, SSO) используют особую схему распределения спектра тактового сигнала. В этом случае вместо ярко выраженного пика на резонансной частоте образуется распределенный спектр, который оказывается «размазанным» около резонансной частоты (рис. 4). Это позволяет заметно снизить мощность возникающих помех. Для таких генераторов вводят коэффициент расширения спектра (Spectrum Spread Coefficient, %). Генераторы могут отличаться и по логическому уровню сигналов (TTL, CMOS, ECL, PECL, LVDS и т. д.). Генераторы PECL, LVDS, в отличие от стандартных генераторов, используют дифференциальные выходные сигналы с логическими уровнями PECL и LVDS соответственно. Снижение амплитуды тактового сигнала, очевидно, приводит к уменьшению мощности помех. С учетом приведенных параметров и классификаций рассмотрим генераторы компании GEYER ELECTRONIC.
Обзор генераторов GEYER ELECTRONIC Стандартные и прецизионные кварцевые генераторы (PXO, XO) Компания предлагает широкий выбор стандартных и прецизионных кварцевых генераторов (табл. 2, 3). Генераторы выпускаются для четырех температурных диапазонов: • –20…+70 °C; • –40…+85 °C;
Таблица 2. Стандартные генераторы GEYER ELECTRONIC kHz-Type (диапазон частот 32,768 кГц)
Модель
Размер (Д×Ш×В), мм
Исполнения для различных температурных диапазонов, °С Особенности –20…+70
–40…+85
–40…+105
–40…+125
KXO-V95 KXO-V96 KXO-V99
2,5×2×0,82 3,2×2,5×1,2 5×3,2×1,2
есть есть есть
есть есть есть
есть есть есть
есть есть есть
KXO-97
7×5,08×1,3
есть
есть
есть
есть
KXO-V97
7×5,08×1,3
есть
есть
есть
есть
Входное напряжение +1,8/2,5/2,8/3/3,3 В Входное напряжение 5 В, высокая температурная стабильность, AEC-Q200 Входное напряжение +1,8/2,5/2,8/3/3,3 В, высокая температурная стабильность, AEC-Q200
www.kite.ru
компоненты
52
генераторы
случае PECL имеет больший уровень потребления, чем у LVDS, но уровень джиттера при этой технологии также меньше. Этот факт позволяет применять PECL-генераторы в качестве формирователя системообразующего тактового сигнала для дальнейшей буферизации (например, буферы SY10E111 и SY10H842 от Micrel) и получения тактовых сигналов отдельных микросхем. Серии KXO‑68 и KXO‑67 имеют выходной дифференциальный сигнал с уровнем PECL (табл. 5). Существуют модификации A, B, D с погрешностями частоты ±100, ±50 или ±25 ppm соответственно. Обе серии выпускаются в исполнениях для температурных диапазонов –20…+70 °C и –40…+85 °C. Особенностью генераторов является наличие возможности перевода выхода в третье состояние.
Таблица 3. Стандартные генераторы GEYER ELECTRONIC МГц-диапазона
Модель
Размер (Д×Ш×В), мм
Диапазон частот, МГц
Исполнения для различных температурных диапазонов, °С –20…+70
–40…+85
–40…+105
Особенности
–40…+125
KXO-V94
2×1,6×0,8
1–80
есть
есть
–
–
Входное напряжение +1,8/2,5/2,8/3/3,3 В, низкие входные токи
KXO-V95 KXO-V96 KXO-V99
2,5×2×0,82 3,2×2,5×1,2 5×3,2×1,2 7×5,08×1,3
7×5,08×1,3
KXO-200 KXO-400 KXO-210 KXO-410
20,8×13,2×5,08 20,8×13,2×5,08 13,2×13,2×6 13,2×13,2×6
есть есть есть есть есть есть есть есть есть есть есть есть есть
есть есть есть есть есть есть есть есть есть – – – –
есть – есть есть есть есть есть
KXO-V97
есть есть есть есть есть есть есть есть есть есть есть есть есть
Входное напряжение +1,8/2,5/2,8/3/3,3 В, низкие входные токи, высокая температурная стабильность, AEC-Q200
KXO-97
1–70 1–133 1–200 1–50 50,1–80 80,1–100 1–50 50,1–80 80,1–160 0,5–100 0,5–100 0,5–100 0,5–100
• –40…+105 °C; • –40 …+125 °C. Выходной сигнал — прямоугольные тактовые импульсы с уровнями напряжения 1,8–3,3 В. Серия KXO‑97 используется для уровней сигналов 5 В. Корпусное исполнение генераторов также различно: от миниатюрных KXO-V94 (21,60,8 мм), предназначенных для поверхностного монтажа, до выводных серий KXO‑200/210 и KXO‑400/410. LVDS-генераторы LVDS — одна из самых распространенных технологий передачи данных, когда данные передаются с помощью дифференциального сигнала со скоростью до нескольких мегабит в секунду. Уровень перепадов дифференциального сигнала от ±250 до ±450 мВ, при этом ток передатчика составляет всего несколько мА. Таким образом, LVDS является высокоскоростной, надежной и экономичной технологией. В настоящее время она применяется во многих компьютерных шинах: HyperTransport, FireWire, USB 3.0, PCI Express, DVI, Serial ATA. LVDS также используется для обмена не только в рамках одной платы, но и для связи отдельных устройств. Самым ярким примером является применение этого стандарта для TFT-панелей. Непосредственно LVDS-генераторы необходимы для тактирования сериализаторов данных, микросхем FPGA (например, Xilinx Virte, Artix), процессоров. Однако LVDS-генератор не всегда напрямую тактирует какую-либо микросхему или процессор, очень часто генератор выступает как источник исходного высокостабильного тактового сигнала для всей системы. Этот высокостабильный тактовый сигнал является основой буферизованных производных тактовых LVDS-сигналов, которые тактируют микросхемы либо испытывают дополнительную буферизацию и преобразование в другие стандарты физических сигналов. Генераторы серий KXO-V66 и KXO-V65 обладают выходным дифференциальным сигналом LVDS-уровня (табл. 4), стабильностью
Входное напряжение +5 В, низкие входные токи, высокая температурная стабильность, AEC-Q200 Входное напряжение +1,8/2,5/2,8/3,0/3,3 В, низкие входные токи, высокая температурная стабильность, AEC-Q200 Монтаж в отверстия DIL 14 TTL/HCMOS Монтаж в отверстия DIL 14 Монтаж в отверстия DIL 8 TTL/HCMOS Монтаж в отверстия DIL 8
есть – – – –
не хуже ±100 ppm во всем температурном диапазоне. Существует два температурных исполнения: –20…+70 °C и –40…+85 °C. Представители серии KXO-V63 — это LVDS-VCXO-генераторы со стабильностью ±100 ppm. Они обладают выходным дифференциальным сигналом уровня LVDS и возможностью подстройки. Диапазон подстройки позволяет компенсировать нестабильность во всем температурном диапазоне и составляет ±100 ppm. Особенностью всех устройств является наличие возможности переводить выход в Z‑состояние для снижения потребления. PECL-генераторы Назначение технологии PECL (Positive Emitter-Coupled Logic) сходно с назначением технологии LVDS: получение высокоскоростного и энергетически эффективного потока данных с низким уровнем шумов. В общем
VCO-генераторы Серия KXO‑59 представляет собой генераторы, управляемые напряжением (табл. 6). Их частота зависит от напряжения на входе управления. Типовой диапазон управляющих напряжений составляет 0,7–4,3 В, при этом типовая чувствительность изменения частоты 28 МГц/В. Генераторы имеют питающие напряжения 5 В ±10%. VCXO-генераторы Серии кварцевых генераторов, управляемых напряжением, KXO‑75/75R/800/810, в отличие от рассмотренных выше VCO-генераторов, имеют возможность подстройки лишь в диапазоне частот вблизи основной резонансной частоты, что связано с высокой добротностью кварцевого резонатора. Серии выпускаются с выходным CMOS-сигналом, имеют температурную погрешность частоты ±50 ppm и равную ей возможность подстройки (табл. 7).
Таблица 4. LVDS-генераторы Модель
Размер (Д×Ш×В), мм
Диапазон частот, МГц
KXO-V66
5×3,2×1,2
40–180
KXO-V65
7×5×1,7
19,44–700
KXO-V63
7×5×1,7
27–700
Стабильность, ppm
Диапазон рабочих температур, °С
–40…+85 –20…+70
±100
Особенности Миниатюрные, с возможностью перехода в Z-состояние, с высокой температурной стабильностью, AEC-Q200 С подстройкой напряжением ±100 ppm, с возможностью перехода в Z-состояние, миниатюрные, с высокой температурной стабильностью, AEC-Q200
Таблица 5. PECL-генераторы Модель
Размер (Д×Ш×В), мм
Диапазон частот, МГц
Стабильность, ppm ±100 ±50 ±25
KXO-68
5×3,2×1,2
25–180
KXO-67
7×5×1,7
50–212,5
Диапазон рабочих температур, °С
Напряжение питания, В
Особенности
–20…+70
2,5 3,3 3,3
Возможность перехода в Z-состояние
–40…+85
Таблица 6. Генераторы, управляемые напряжением (VCO) Модель KXO-59 RSV925A KXO-59 RSV2545A
Размер (Д×Ш×В), мм 12,7×12,7×2,8
KXO-59 RSV1550A KXO-59 RSV1650A KXO-59 RSV1750A KXO-59 RSV1850A
Диапазон частот, МГц
Чувствительность, МГц/В
Напряжение подстройки, В
890–960
27 (тип.)
0,5–4,5
28 (min)
0,7–4,3
2390–2700 1500–1600
8×6×1,8
Диапазон рабочих температур, °С
1600–1700
–40…+85
1700–1800 1800–1900
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
генераторы
компоненты
53
Модель
Размер (Д×Ш×В), мм
Диапазон частот, МГц
Напряжение подстройки, В
Диапазон рабочих температур, °С
Таблица 7. Кварцевые генераторы, управляемые напряжением (VCXO)
Особенности
KXO-75 (низкопрофильные) и KXO-75R (миниатюрные)
7×5×1,7
1,544–77,76
0–3,3
–40…+85
CMOS, с возможностью перехода в Z-состояние
KXO-800
20,8×13,2×5,08 (7,5)
1–155,52
+2,5 В ±2 В
KXO-810
13,2×13,2×6
1–60
+1,65 В ±1,65 В
CMOS/TTL, монтаж в отверстия DIL 14, высокостабильные, старение ± 3 ppm/год –40…+85 –20…+70 CMOS/TTL, монтаж в отверстия DIL 8, высокостабильные, старение ± 3 ppm/год
TCXO-генераторы Термокомпенсированные генераторы серий KXO‑86/85/83/82/900 имеют слабую температурную зависимость, не превышающую 3,5 ppm (табл. 8). Они имеют выходные сигналы в форме усеченной синусоиды или прямоугольного HCMOS. Серии KXO‑900/910 предназначены для монтажа в отверстия. Таблица 8. Термокомпеннсированые генераторы (TCXO) Модель
Размер Диапазон Диапазон рабочих Стабиль(Д×Ш×В), мм частот, МГц температур, °С ность, ppm
KXO-86 HCMOS KXO-83 HCMOS KXO-82 HCMOS KXO-85
2,5×2×0,7 5×3,2×1,5 7×5×2,4 11,4×9,6×2,8
3,25–54 10–40 10–30 10–27
KXO-900
18,5×11,7×8,5
1,2–100
KXO-900
18,5×11,7×8,5
9,6–35
Особенности
–40…+85
2,5
HCMOS
–40…+85 –20…+70
1–3
HCMOS
–40…+85
2,5
–40…+85 –20…+70
3,5
Усеченная синусоида Монтаж в отверстия, усеченная синусоида Монтаж в отверстия, TTL/HCMOS
VCTCXO-генераторы Термокомпенсированные управляемые напряжением генераторы серий KXO‑86/84/83/82/950 (табл. 9) имеют различную форму выходного сигнала. Серия KXO‑950 предназначена для монтажа в отверстия.
KXO-86 CLIPPED SINE
2,5×2×0,7
13–40
KXO-84 CLIPPED SINE
3,2×2,5×0,9
10–26
Диапазон рабочих температур, °С
Размер (Д×Ш×В), мм
Стабильность, ppm
Модель
Диапазон частот, МГц
Таблица 9. Термокомпенсированные генераторы, управляемые напряжением (VCTCXO)
Особенности
Миниатюрные, усеченная синусоида –40…+85
Для автомобильных приложений: высокая температурная стабильность и устойчивость к механическим воздействиям, усеченная синусоида
KXO-84 HCMOS 3,2×2,5×1 8–40 ±5...±20 –40…+85 KXO-83 –20…+70 5×3,2×1,5 12–26 ±5 CLIPPED SINE KXO-82 7×5×2 12,6–20 ±5 –40…+85 KXO-950 ±3 –40…+85 TTL/HCMOS 18,3×11,7×8,5 1–27 –20…+70 KXO-950 –40…+105 ±0,3 CLIPPED SINE 18,3×11,7×8,5 9,6–27
Миниатюрные, HCMOS Миниатюрные, усеченная синусоида Миниатюрные, усеченная синусоида
±9...±15
Монтаж в отверстия, TTL/HCMOS Монтаж в отверстия, усеченная синусоида
SSO-генераторы Стандартные цифровые устройства, тактируемые от обычных генераторов, имеют достаточно узкий спектр вблизи основной частоты и ее гармоник. Узкий спектр приводит к тому, что вся энергия помехи концентрируется на конкретной частоте и ее гармониках. В ряде случаев это может привести к тому, что мощность помех на этих частотах превышает мощность, ограниченную стандартами по ЭМС (например, стандартами таких организаций, как IEC в Европе, JEITA в Японии и FCC в США). Чтобы соответствовать этим стандартам, применяют различные методы расширения спектра. SSO (Spread Spectrum Oscillators) — генераторы, спектр которых «размазан» около основной
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 4. Спектр выходного сигнала SSO-генератора KXO‑56
частоты. Результирующая мощность любой частотной составляющей в спектре оказывается меньшей, чем в стандартном генераторе. SSO-генераторы могут применяться в компьютерах, в портативных устройствах с ЖК-экранами высокого разрешения. Серия KXO‑56 имеет скорректированный распределенный спектр. Существует два варианта распределения спектра — Center Spread и Down Spread (рис. 4). Коэффициент распределения составляет от ±0,5 до ±2% (Center Spread) и от ±0,5 до ±4% (Down Spread) (рис. 3). Характеристики KXO‑56: • диапазон частот 1–134 МГц; • стабильность ±50 ppm; • диапазон рабочих температур –40…+85 °C; • габариты (ДШВ) 53,21,2 мм; • возможность перехода в Z‑состояние.
Заключение Компания GEYER ELECTRONIC предлагает широкую номенклатуру различных генераторов: • стандартные и прецизионные генераторы (PXO и XO) для различных частот в различных корпусах; • генераторы с частотой, управляемые напряжением (VCO и VCXO); • термокомпенсированные генераторы (TCXO); • термокомпенсированные генераторы с частотой, управляемые напряжением (VCTCXO); • генераторы с различными выходными уровнями напряжений (TTL, CMOS, LVDS, PECL); • генераторы с различной формой выходных сигналов (прямоугольной, урезанной синусоидой, распределенным спектром). Такое многообразие позволяет удовлетворить требованиям самых специфичных задач. n
Литература 1. Андросова В. Г., Банков В. Н., Дикиджи А. Н. и др. Справочник по кварцевым резонаторам/Под ред. П. Г. Позднякова. М.: Связь. 1978. 2. www.geyer-electronic.de
www.kite.ru
54
новости
микроконтроллеры
Новое семейство 8‑битных микроконтроллеров от Microchip
вечная Flash-память (High Endurance Flash, HEF). Контроллеры семейства LF имеют низкое энергопотребление: в активном режиме они потребляют менее 35 мкА/МГц, а в спящем режиме — до 20 нА. Поддержка PIC12F157X осуществляется полным набором инструментов Microchip: это среда разработки MPLAB X, программатор PICkit 3 (PG164130), отладочные средства 8‑bit Low Pin Count (DM164130-9), MPLAB ICD 3 (DV164035) и отладочное устройство PICDEM Lab (DM163045). Помимо этого, в помощь разработчикам светодиодных приложений на семействе PIC12F157X Microchip также разработала AN1562 — демонстрационную платформу для работы с RGB-диодами и руководство по работе с ней. www.microchip.com
Реклама
Компания Microchip объявила о выпуске нового семейства 8‑битных PICмикроконтроллеров PIC12(L)F157X. Новые МК имеют несколько 16‑разрядных ШИМ, широкий ассортимент аналоговой периферии, поддержку последовательных интерфейсов, и все это — в небольшом 8‑выводном корпусе. Для приложений, требующих высокого разрешения, таких как LED-подсветка, управление шаговым двигателем, зарядные устройства и т. п., контроллеры нового семейства предоставляют три независимых от таймера полнофункциональных 16‑разрядных ШИМ. Вдобавок к этому, помимо стандартных режимов использования ШИМ, периферия PIC12(L)F157X содержит четыре режима сравнения и может быть использована как дополнительный 16‑битный таймер. Генератор комплементарных импульсов (ГКИ) в сочетании с 16‑битными широтно-импульсными модуляторами позволяет реализовать схемы как полумостового, так и мостового контроллеров. С помощью ГКИ можно контролировать ключевые параметры генерируемых высокомощных комплементарных сигналов: полярность, «мертвое» время, режимы экстренного выключения. ГКИ может быть использован для управления полевыми транзисторами в приложениях преобразования мощности или управления двигателем, такое решение позволит сэкономить на себестоимости изделия, а также освободить немного места на плате. ГКИ и 16‑разрядные ШИМ — независимая от ядра периферия, которая вкупе с 10‑разрядным АЦП, компаратором и 5‑битным ЦАП образовывают обратную связь с замкнутым контуром управления. Такое сочетание модулей периферии делает новое семейство PIC12F157X оптимальным решением для широкого круга приложений, к которым относятся, например, системы управления светодиодной подсветкой и LED-смешения RGB-цветов, электробритвы и радиоуправляемые игрушки. PIC12F1572 поддерживает EUSART- и LIN-интерфейсы, часто используемые в устройствах автомобильного и промышленного контроля. Интегрированный 10‑битный АЦП позволяет реализовать емкостные датчики касания, выполненные в соответствии с технологией mTouch компании Microchip. Также в состав PIC12F157X входит энергонезависимая долго-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
графические контроллеры
компоненты
55
Начинаем работать с графическим контроллером FT800 FTDI
Сергей Долгушин dsa@efo.ru
Введение Новый графический контроллер EVE (Embedded Video Engine) FT800 за год своего существования уже завоевал две престижные награды как лучший продукт года — Elektra 2013 и BEEA 2013. Такое признание он заслужил благодаря своим уникальным особенностям. Набор графических функций, встроенных в микросхему FT800, позволяет использовать TFT-дисплей даже с 8‑разрядными микроконтроллерами. Графические функции, помимо стандартных для вывода точек, линий, заливки экрана и т. п., позволяют на аппаратном уровне работать со сложными объектами — кнопками, слай-
В начале 2013 года компания FTDI анонсировала новую микросхему — графический контроллер FT800 EVE, который мы представили читателям в [1]. FT800 включает в себя три основных блока: графический контроллер, контроллер резистивного сенсорного экрана и аудиоконтроллер. Набор команд для управления всеми возможностями новой микросхемы достаточно велик, а порядок обмена между управляющим МК и FT800 имеет специфические особенности. На наш взгляд, самым простым методом освоения графического контроллера будет использование готовых примеров производителя. Примеры, которые предлагаются для ознакомления с возможностями FT800, хорошо структурированы и содержат готовый набор API-функций для всех команд. В статье освещены основные моменты по переносу примеров FTDI на 8‑разрядный МК компании Cypress семейства PSoC.
дерами, текстом. Эти графические элементы могут отображаться на экране с различными эффектами, в плоском и объемном виде, с монохромной и градиентной заливкой. Помимо статичных объектов, FT800 может отображать на экране заставку в виде движущейся картинки или визуализировать режим ожидания анимацией. Эти функции FT800 отрабатывает самостоятельно, без участия управляющего микроконтроллера. Кроме графических функций, микросхема включает в себя контроллер резистивного сенсорного экрана и аудиоконтроллер со встроенной библиотекой готовых звуковых эффектов. Совокупность этих возможностей, а также ее невысокая стоимость
делают микросхему FT800 перспективной для новых разработок и менее требовательной к ресурсам управляющего контроллера, чем конкурентные решения. Отметим, что ни одно из существующих решений аналогичного функционального назначения не имеет сегодня встроенных контроллера сенсорного экрана и аудиоконтроллера. Помимо самих микросхем, компания FTDI предлагает набор готовых графических модулей на базе TFT-дисплеев с размером по диагонали 3,5″ (320240), 4,3″ и 5″ (480272). Модули включают в себя все необходимые для работы компоненты, установленные аудиоусилитель и динамик. Модули серии VM800Bxxx поставляются с декоративной рамкой и монтажной панелью для установки в корпусе прибора (рис. 1).
Аппаратные средства
Рис. 1. Графический модуль VM800Bxxх на базе FT800
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Вернемся к теме статьи и возможностям FT800. Микросхема поддерживает широкий набор графических функций, работу с сенсорным экраном, воспроизведение звука и ряд команд, управляющих режимами работы. Освоение «с нуля» всего функционального набора может оказаться трудоемкой задачей. Помочь в этом могут примеры производителя, которые демонстрируют работу всех функций микросхемы FT800. Эти примеры хорошо структурированы и могут использоваться в качестве библиотеки функций для применения в собственных проектах и/или понимания принципов управления графическим контроллером. www.kite.ru
56
компоненты
Рис. 2. Датчик Si7021, установленный на плату
Далее мы покажем, как адаптировать основной пример FTDI для использования с микроконтроллером Cypress PSoC CY8C29466 и компилятором ImageCraft. Повторим проект, показанный ранее в примере работы с графическим модулем uLCD‑43PT компании 4D Systems [2]. Макет будет включать в себя следующие элементы: отладочную плату CY3210‑PSOCEVAl1 с установленным микроконтроллером CY8C29466, модуль собственного изготовления с датчиком температуры и влажности Si7021 (рис. 2) и графический модуль FTDI VM800B43A-BK (рис. 3). Основные параметры используемых компонентов следующие. Микросхема CY8C29466 — это простой 8‑разрядный микроконтроллер на базе ядра Cypress M8C с производительностью до 4 MIPS, тактовой частотой ядра до 24 МГц, объемом флэш-памяти 32 кбайт и ОЗУ 2 кбайт. Используемая периферия: аппаратный интерфейс SPI для связи с графическим модулем на базе FT800 (тактовая частота 12 МГц, средняя скорость передачи 4 Мбит/с) и программная реализация интерфейса I2C для управления датчиком Si7021. Модуль с датчиком Si7021 — это плата, на которой установлены сам датчик, подтягивающие резисторы на линиях SDA и SCL и конденсатор в цепи питания. Si7021 явля-
Рис. 3. Компоненты модуля VM800B43A-BK
графические контроллеры
ется продолжением предыдущего удачного решения Silicon Labs — датчика температуры и влажности Si7005. Новый датчик обладает лучшими характеристиками, чем его предшественник. На текущий момент датчики температуры и влажности Silicon Labs являются самым дешевым решением на рынке при сопоставимых с конкурентами характеристиках [2, 3]. Графический модуль VM800B43A-BK включает в себя TFT-дисплей с разрешением 480240 точек, его размер по диагонали — 4,3″. Дисплей имеет резистивный сенсорный экран. TFT-дисплей и плата управления установлены в пластиковом держателе, который предназначен для крепления модуля внутри корпуса прибора. С лицевой стороны устанавливается пластиковая декоративная рамка, которая также входит в состав этого модуля. Плата управления модуля реализована на базе микросхемы FT800, линии интерфейса SPI могут работать с уровнями 5 и 3 В. (Сама микросхема FT800 работает только с уровнем 3 В.) Питание модуля может осуществляться от 5‑В или 3‑В источника. На плате установлены аудиоусилитель и динамик для воспроизведения звуковых эффектов.
Программная реализация Для реализации своей библиотеки команд для управления FT800 возьмем пример, описанный в [4]. Это базовый пример, в котором FTDI показывает работу всех поддерживаемых графическим контроллером команд. В том виде, в котором этот пример (и все остальные) дается производителем, он может быть запущен из-под ОС Windows или на платформе Arduino. Мы же покажем, что именно необходимо изменить для переноса его на МК CY8C29466. В результате внешний вид работающего приложения будет выглядеть следующим образом (рис. 4). Измеренные датчиком Si7021 значения температуры и влажности будут выводиться на экран в текстовом и графическом виде.
Основными файлами примера AN 245 являются: • SampleApp.c — это пользовательское приложение. Оно будет полезно для понимания порядка инициализации FT800 при включении и последовательности команд для передачи в FT800 и последующего вывода на экран. • FT_Gpu_Hal.c — это платформозависимая часть, которая реализует основные функции обмена по SPI и формирует протокол управления FT800. Соответственно, изменения в этом файле коснутся функций приема и передачи команд и данных между управляющим МК и FT800. Все остальное, как и в случае с CY8C29466 и компилятором Image Craft, остается без изменений. • FT_CoPro_Cmds.c включает в себя APIфункции всех команд для работы с графическим контроллером. Описание самих команд дано в руководстве программиста [5]. В зависимости от используемого компилятора могут понадобиться небольшие изменения, например, при работе с компилятором ImageCraft для МК PSoC пришлось заменить все переменные const ft_char8_t *string, вызываемые в некоторых функциях, на ft_char8_t *string. Итак, файл FT_Gpu_Hal.c содержит в себе все команды для управления микросхемой FT800 по интерфейсу SPI. Первые три функции, описанные в этом файле, — это функции инициализации, открытия и закрытия интерфейса SPI. Здесь и далее по тексту весь листинг будем приводить с внесенными изменениями, оригинал можно посмотреть в [4]. Функция Ft_Gpu_Hal_Init(void) В оригинале в этой функции осуществляется инициализация библиотеки MPSSE для микросхемы FT232H, которая используется для управления графическим модулем с ПК. В нашем случае эта функция преобразилась в установку линии CS в неактивное состояние. Если управление линией CS реализовано в библиотеке SPI, то эту функцию можно исключить:
Рис. 4. Информация на дисплее, которая выводится в результате работы примера
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
графические контроллеры
компоненты
57
ft_bool_t Ft_Gpu_Hal_Init(void) { HAL_SPI_CSHigh(); // void HAL_SPI_CSHigh(void) { PRT0DR |= 0x10;}; return TRUE; }
Функция Ft_Gpu_Hal_Open (Ft_Gpu_Hal_Context_t *host) В этой функции оставлены запуск блока SPI с требуемыми параметрами и инициализация переменных, являющихся указателями на текущую область памяти FT800 (дисплей-лист или FIFO), в которую будет записываться следующая графическая команда. Также устанавливается статус, что интерфейс готов к работе: FT_GPU_HAL_ OPENED. Все указанные переменные хранятся в служебной структуре Ft_Gpu_Hal_Context_t (она определена в файле FT_Gpu_Hal.h), обращение к ним осуществляется через указатель host. В исходном варианте в этой функции также осуществляется настройка частоты интерфейса, при использовании PSoC первичный выбор рабочей частоты блока SPI делается на графическом уровне и автоматически добавляется в библиотеку. Переключения рабочей частоты блока SPI в нашем случае не требуется, так как рабочая частота выбранного МК ниже частоты FT800 в режимах инициализации и рабочем. Поэтому никаких дополнительных команд по управлению частотой блока SPI CY8C29466 в коде не требуется. Если частота SPI выбранного микроконтроллера может достигать 30 МГц, то на момент инициализации она не должна превышать 12 МГц, после инициализации ее можно поднять до максимума: ft_bool_t Ft_Gpu_Hal_Open(Ft_Gpu_Hal_Context_t *host) { SPIM_Start(SPIM_SPIM_MODE_0 | SPIM_SPIM_MSB_FIRST); // Включение SPI в PSoC и установка его параметров — режим “Mode 0”, старший бит передается первым host->ft_cmd_fifo_wp = host->ft_dl_buff_wp = 0; host->status = FT_GPU_HAL_OPENED; return TRUE; }
Функция Ft_Gpu_Hal_Close(Ft_Gpu_Hal_Context_t *host) В этой функции происходит выключение блока SPI и установка соответствующего значения переменной status: ft_void_t Ft_Gpu_Hal_Close(Ft_Gpu_Hal_Context_t *host) { host->status = FT_GPU_HAL_CLOSED; SPIM_Stop(); }
Функция Ft_Gpu_Hal_StartTransfer(Ft_Gpu_Hal_Context_t *host, FT_GPU_TRANSFERDIR_T rw, unsigned long addr) Функция Ft_Gpu_Hal_StartTransfer (Ft_Gpu_Hal_Context_t *host, FT_GPU_TRANSFERDIR_T rw, unsigned long addr) реализует команды чтения Host Memory Read и записи Host Memory Write [1, 5]. С помощью команды записи управляющий МК сообщает FT800, что далее будет передаваться набор команд на выполнение тех или иных графических операций, воспроизведение звука и т. п. Команда чтения позволяет управляющему МК получать информацию от FT800 (состояние регистров, результаты обработки сигналов сенсорного экрана и т. д.): void Ft_Gpu_Hal_StartTransfer(Ft_Gpu_Hal_Context_t *host,FT_GPU_TRANSFERDIR_T rw,unsigned long addr) { if (FT_GPU_READ == rw) { HAL_SPI_CSLow(); // установка CS в активное состояние while( ! (SPIM_bReadStatus() & SPIM_SPIM_TX_BUFFER_EMPTY ) ); // проверка готовности SPI для передачи SPIM_SendTxData((addr & 0x00FF0000) >> 16); // отправка байта по SPI while( ! (SPIM_bReadStatus() & SPIM_SPIM_TX_BUFFER_EMPTY ) ); SPIM_SendTxData(((addr & 0x0000FF00) >> 8); while( ! (SPIM_bReadStatus() & SPIM_SPIM_TX_BUFFER_EMPTY ) ); SPIM_SendTxData(addr & 0x000000FF); while( ! (SPIM_bReadStatus() & SPIM_SPIM_TX_BUFFER_EMPTY ) ); SPIM_SendTxData(0);
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
} else {
host->status = FT_GPU_HAL_READING;
HAL_SPI_CSLow(); while( ! (SPIM_bReadStatus() & SPIM_SPIM_TX_BUFFER_EMPTY ) ); SPIM_SendTxData(0x80 | (addr >> 16)); while( ! (SPIM_bReadStatus() & SPIM_SPIM_TX_BUFFER_EMPTY ) ); SPIM_SendTxData(addr >> 8); while( ! (SPIM_bReadStatus() & SPIM_SPIM_TX_BUFFER_EMPTY ) ); SPIM_SendTxData(addr);
host->status = FT_GPU_HAL_WRITING; } }
Функция Ft_Gpu_Hal_Transfer8(Ft_Gpu_Hal_Context_t *host, ft_unit8_t value) Функция чтения и записи байта Ft_Gpu_Hal_Transfer8(Ft_Gpu_ Hal_Context_t *host, ft_unit8_t value) является базой для формирования всех управляющих команд (графических и аудио) и команд чтения регистров FT800 (например, получение информации о точке касания от контроллера сенсорного экрана или о текущем указателе на адрес командного FIFO): ft_unit8_t Ft_Gpu_Hal_Transfer8(Ft_Gpu_Hal_Context_t *host,ft_unit8_t value) { BYTE MCU_Readbyte = 0; while( ! (SPIM_bReadStatus() & SPIM_SPIM_TX_BUFFER_EMPTY ) ); // проверка готовности SPI для передачи SPIM_SendTxData(value); // отправка байта по SPI while( ! (SPIM_bReadStatus() & SPIM_SPIM_RX_BUFFER_FULL)); // проверка наличия данных в приемном буфере SPI MCU_Readbyte = SPIM_bReadRxData(); / // чтение байта из буфера SPI return MCU_Readbyte; }
Функция Ft_Gpu_HostCommand(Ft_Gpu_Hal_Context_t *host, ft_uint8_t cmd) Последней функцией, в которой присутствует обмен по SPI, является Ft_Gpu_HostCommand(Ft_Gpu_Hal_Context_t *host, ft_unit8_t cmd). На основе этой функции формируются управляющие команды (Host command), предназначенные для выбора режима работы FT800 (активное состояние, режим готовности, спящий режим и выключение), выбора источника тактовой частоты, установки тактовой частоты и сброса контроллера FT800: ft_void_t Ft_Gpu_HostCommand(Ft_Gpu_Hal_Context_t *host,ft_unit8_t cmd) { HAL_SPI_CSLow(); while( ! (SPIM_bReadStatus() & SPIM_SPIM_TX_BUFFER_EMPTY ) ); SPIM_SendTxData(cmd); while( ! (SPIM_bReadStatus() & SPIM_SPIM_TX_BUFFER_EMPTY ) ); SPIM_SendTxData(0); while( ! (SPIM_bReadStatus() & SPIM_SPIM_TX_BUFFER_EMPTY ) ); SPIM_SendTxData(0); HAL_SPI_CSHigh(); }
Функция Ft_Gpu_Hal_EndTransfer(Ft_Gpu_Hal_Context_t *host) Функция Ft_Gpu_Hal_EndTransfer(Ft_Gpu_Hal_Context_t *host) завершает обмен по интерфейсу SPI между управляющим контроллером и FT800 переводом линии CS в неактивное состояние: ft_void_t Ft_Gpu_Hal_EndTransfer(Ft_Gpu_Hal_Context_t *host) { HAL_SPI_CSHigh(); host->status = FT_GPU_HAL_OPENED; }
Остальные функции являются составными из вышеперечисленных или не требуют специальных пояснений, например формирование задержки или управление линией PD (Power Down). После внесения этих изменений мы имеем готовую библиотеку функций FT800 для МК CY8C29466. Закончим пример, внешний вид которого был показан на рис. 4. Приведем листинг основного цикла программы, в котором осуществляются измерения и формирование команд для вывода на диwww.kite.ru
компоненты
58
графические контроллеры
сплей. Часть, отвечающую за инициализацию FT800, можно посмотреть в соответствующих примерах и описаниях [1, 4, 5] и, соответственно, скопировать в свой проект. Все API-функции для работы с графикой описаны в FT_CoPro_Cmds.c. Их вид полностью соответствует тому, как они описаны в руководстве программиста [5]. Краткие комментарии по работе функций даны в листинге: while(1) { Ft_Gpu_CoCmd_Dlstart(phost); // начало дисплей-листа (команд для отображения) сопроцессора Ft_App_WrCoCmd_Buffer(phost,CLEAR_COLOR_RGB(64,64,64)); //установка значений цвета, которые будут использоваться при очистке экрана Ft_App_WrCoCmd_Buffer(phost,CLEAR(1,1,1)); // очистка экрана Ft_App_WrCoCmd_Buffer(phost,COLOR_RGB(0xff,0xff,0xff)); // установка цвета графических элементов, следующих за этой командой, в данном примере — шрифта Ft_App_WrCoCmd_Buffer(phost,COLOR_A(255)); // установка прозрачности элементов, в данном примере — шрифта Ft_Gpu_CoCmd_Text(phost,(360), (0), 27, OPT_RIGHTX, label2); //вывод текстовой строки “Temperature=” в позицию x = 360, y = 0 с выравниванием по правой границе текста Ft_Gpu_CoCmd_Text(phost,(480), (0), 27, OPT_RIGHTX, stringT2); // вывод измеренного значения Ft_App_WrCoCmd_Buffer(phost,COLOR_A(255)); // прозрачность индикаторов if (gVal<=25) {Ft_Gpu_CoCmd_BgColor(phost, 0x00ff00);} // установка цвета фона индикатора else {Ft_Gpu_CoCmd_BgColor(phost, 0xff0000);} // установка цвета фона индикатора Ft_Gpu_CoCmd_Gauge(phost, 380,120,80,0,10,10,gVal,100); // вывод индикатора с центром в точке x = 360, y = 120, диаметром 80, внешний вид, количество основных делений — 10, количество вспомогательных делений — 10, измеренное значение, максимальное значение.
Ft_Gpu_CoCmd_Text(phost,(120), (0), 27, OPT_RIGHTX, label1); Ft_Gpu_CoCmd_Text(phost,(240), (0), 27, OPT_RIGHTX, stringT); Ft_Gpu_CoCmd_BgColor(phost, 0x0000ff); Ft_Gpu_CoCmd_Gauge(phost, 120,120,80,0,10,10,gVal,100);
Ft_App_WrCoCmd_Buffer(phost,DISPLAY()); // конец дисплей-листа Ft_Gpu_CoCmd_Swap(phost); // ожидание нового дисплей-листа после окончания вывода текущего Ft_App_Flush_Co_Buffer(phost); Ft_Gpu_Hal_WaitCmdfifo_empty(phost); // ожидание освобождения буфера FIFO
// чтение значения влажности rxBuf = 0; rxBuf=I2Cm_fSendStart(0x40, I2Cm_WRITE); rxBuf=I2Cm_fWrite(0xF5);
for (c=0; c<=15000; c++) {}
rxBuf=0; while (rxBuf!=1) { rxBuf=I2Cm_fSendRepeatStart(0x40, I2Cm_READ); }
rxBuf=I2Cm_bRead(I2Cm_ACKslave); humTempH = (rxBuf<<8); rxBuf=I2Cm_bRead(I2Cm_NAKslave); I2Cm_SendStop(); humTempL = rxBuf;
for (c=0; c<=15000; c++)
новости
rxBuf=I2Cm_fSendRepeatStart(0x40, I2Cm_READ); rxBuf=I2Cm_bRead(I2Cm_ACKslave); humTempH = (rxBuf<<8); rxBuf=I2Cm_bRead(I2Cm_NAKslave); I2Cm_SendStop(); humTempL = rxBuf; humResult = humTempH+humTempL; Temperature = (((float)humResult*175.72)/65536)-46.85; // формула приведения измеренных значений к градусам Цельсия дана в [3] stringT2 = ftoa(Temperature, &iTemp2); gVal = (ft_uint16_t)fround(Temperature); }
Таким образом, изменив ряд функций, отвечающих за обмен между МК и микросхемой FT800, мы получили готовый работающий набор API-функций от производителя. Такой подход существенно упрощает освоение графического контроллера и позволяет в короткие сроки реализовать свое графическое приложение.
Заключение На этом примере мы хотели показать, как новый графический контроллер FTDI FT800 и графический модуль VM800B43A-BK на его базе работают с простым 8‑разрядным контроллером. Причем производительность этого контроллера относительно небольшая и составляет всего 4 MIPS, а типовая скорость передачи по SPI — порядка 4 Мбит/с (с учетом всех внутренних задержек). При этом микроконтроллер успевает опрашивать датчик и отображать информацию на дисплее в графическом виде без каких-либо видимых глазу мерцаний экрана или других искажений, связанных с недостаточностью быстродействия для смены картинок. Задача микроконтроллера сводится к передаче списка команд, на основании которых осуществляется вывод изображения на дисплей. Управление дисплеем — это целиком и полностью работа микросхемы FT800. В следующей статье мы расскажем про работу с пользовательскими шрифтами. Речь пойдет о конвертировании шрифтов в формат, поддерживаемый графическим контроллером FT800, и использовании этих шрифтов в приложениях. n
Литература
humResult = humTempH+humTempL; Humidity = (((float)humResult*125)/65536)-6; // формула приведения измеренных значений к значениям относительной влажности дана в [3] stringT = ftoa(Humidity, &iTemp); gVal=(ft_uint16_t)fround(Humidity); //************************************** // чтение значения температуры rxBuf=I2Cm_fSendStart(0x40, I2Cm_WRITE); rxBuf=I2Cm_fWrite(0xF3);
{}
1. Долгушин С. Графический контроллер EVE FT800 компании FTDI // Компоненты и технологии. 2013. № 11. 2. Долгушин С. Измеритель температуры и влажности на базе датчика Silicon Labs Si7005 и дисплейного модуля 4D Systems uLCD‑43PT // Компоненты и технологии. 2014. № 2. 3. Datasheet. Si7021 I2C humidity and temperature sensor. 4. Application Note AN 245. FT800 Sample Application Introduction For VM800B and VM800C Development Kits and Windows PC. 5. FT800 Programmer Guide.
джойстики
Новая серия миниатюрных HS-джойстиков АРЕМ на микропереключателях Компания АРЕМ представила джойстики HS. Они выполнены как герметичные двух-, четырехили пятипозиционные однополюсные кнопки на основе миниатюрного джойстика. Разработано восемь стилей кнопок. Есть четыре способа их
монтажа на панель. Степень герметичности новых устройств — IP68 и IP69K. Джойстики серии HS хорошо подходят для встраивания в различные панели и ручки управления. www.yeint.ru
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
компоненты
60
источники питания
Организация питания радиационно-стойких ПЛИС с применением DC/DC-преобразователей компании Microsemi
Константин Верхулевский info@icquest.ru
Введение В последнее десятилетие заметно расширилась область применения ПЛИС при создании электронной аппаратуры, предназначенной для космоса. На основе ПЛИС проектируются системы цифровой обработки изображений и данных, поступающих с научного оборудования, бортовые компьютеры малых космических аппаратов и телекоммуникационных спутников. Это многообразие обусловлено различными преимуществами, в частности, возможностью реконфигурации ПЛИС в случае изменения задачи, модернизацией алгоритмов работы, организацией параллельных вычислений, а также значительным прогрессом в технологии производства, позволившим создать быстродействующие микросхемы с большим числом логических вентилей. Аппаратура, размещаемая на космических аппаратах, функционирует в условиях воздействия ряда дестабилизирующих внешних факторов (механических, климатических и т. д.). Наибольшую же опасность представляет влияние полей ионизирующих из-
Постоянное совершенствование оборудования, используемого в космических программах, напрямую связано с развитием электронно-вычислительной техники. Повышение объемов обрабатываемых данных и скорости передачи информации требует применения высокопроизводительных радиационно-стойких программируемых логических ИС (ПЛИС), для питания которых обычно необходимо несколько номиналов стабильных напряжений (1,2; 1,8; 2,5; 3,3 В). Решению этой задачи поможет линейка высокоинтегрированных преобразователей напряжения компании Microsemi, их основные характеристики и будут рассмотрены в данной статье.
лучений космического пространства, приводящее к возникновению разных обратимых и необратимых (катастрофических) эффектов (табл. 1). Поэтому вероятность безотказной работы бортовых систем и, как следствие, длительность срока активной эксплуатации во многом зависит от радиационной стойкости используемых электронных компонентов. Широкую линейку продукции, обладающей долговременной надежностью и стабильностью без ухудшения рабочих характеристик при суммарной поглощенной дозе ионизирующих излучений (TID) более 100 крад, предлагает компания Microsemi [1]. Корпорация Microsemi была основана в 1960 году, и на сегодняшний день это один из крупнейших производителей аналоговых, цифро-аналоговых и дискретных компонентов. Продукция Microsemi используется для создания высоконадежных, высокопроизводительных решений в области радиосвязи, промышленности, автомобилестроения, медицинского оборудования, а также для нужд военных и авиационно-космических приложений. Компания имеет собственный многолетний опыт изготовления радиационно-
Таблица 1. Отказы, вызванные влиянием заряженных космических частиц Одиночные эффекты
Тип воздействия
SEL (Single Event Latch)
Тиристорный эффект, вызванный ионизацией. Переход микросхемы в состояние с высоким потреблением тока. Для восстановления требуется снятие питания.
SET (Single Event Transient)
Однократный восстанавливаемый отказ. Кратковременное изменение состояния логического выхода, вызванное ионизирующим излучением.
SEU (Single Event Upset)
Однократный восстанавливаемый сбой памяти. Обратимое изменение состояния регистра памяти ОЗУ или триггера, вызванное ионизацией.
SEFI (Single Event Functional Interrupt)
Функциональный отказ в результате одиночного сбоя. Отказ в работе прибора, вызванный однократной ионизацией. Для устранения требуется либо снятие и восстановление питания, либо перезагрузка системы.
стойкой продукции, но до недавнего времени в ассортименте присутствовали в основном дискретные компоненты (транзисторы, реле и т. д.). Приобретение в 2010 году компании Actel, известного лидера в сфере изготовления радиационно-стойких ПЛИС, выпускающего до 80% мирового объема этих изделий для бортового оборудования космических аппаратов, позволило значительно расширить линейку продукции космического назначения и предложить разработчикам комплексные решения от одного производителя. В настоящее время номенклатура радиационно-стойких ПЛИС компании предусматривает как многократно программируемые ИС на основе Flash-технологии, так и однократно программируемые на основе технологии прожигаемых перемычек (Antifuse). К многократно программируемым относятся микросхемы RT ProASIC3, которые сохраняют возможность перепрограммирования в системе при дозе поглощенной радиации до 15 крад и работоспособность при поглощенной дозе 25 крад. Однократно программируемые ПЛИС, включающие семейство RTSX-SU (улучшенная версия коммерческой серии SX-A) и специально разработанные семейства RTAX-S/SL, RTAX-DSP, не только отличаются повышенными значениями максимальной дозы поглощенной радиации с сохранением функциональности (100 и 300 крад соответственно), но и имеют ряд других преимуществ. Прежде всего, это рекордная надежность, гарантирующая не более 10 сбоев на 109 часов наработки, чрезвычайно низкое
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
источники питания
энергопотребление, большая логическая емкость (до 4 млн системных вентилей) и высокая системная производительность (более 500 МГц). Микросхемы семейств RTAX обладают устойчивостью к тиристорному эффекту при облучении частицами с ЛПЭ (типовые значения линейных потерь энергии заряженных частиц в материале, при которых начинают наблюдаться одиночные эффекты) более 117 МэВ·см2/мг, устойчивостью к изменению состояния регистров при облучении частицами с ЛПЭ более 37 МэВ·см2/мг и изготавливаются в специально созданных корпусах CQFP и CCGA/LGA, предназначенных для применения в космических аппаратах. Высокая надежность ПЛИС подтверждена сертификатом высшего уровня качества для ИС космического назначения (QML класс V и Q) [2]. Для питания ПЛИС ранее отмеченных серий необходим источник с несколькими низковольтными высокостабильными выходами. При решении этой задачи можно использовать преобразователь напряжения с удовлетворяющими характеристиками от любой заслуживающей доверия компании-изготовителя. Но по сравнению с обычными электронными компонентами промышленного назначения радиационно-стойкие полупроводниковые продукты выпускаются ограниченным числом производителей. Кроме того, большинство компаний предлагает только определенные виды изделий, например реле или транзисторы. Инженерам-разработчикам часто приходится выбирать элементную базу от разных поставщиков, что при проектировании способствует появлению дополнительных рисков из-за их совместимости. Это связано с тем, что электрические испытания, в том числе и тестирование на радиационную стойкость, выполняемые разными производителями при выходном контроле, могут немного различаться, как и гарантированные технические характеристики радиационно-стойких компонентов. Более надежный подход — использовать в разрабатываемом устройстве компоненты одного производителя (силовые, аналоговые, цифровые и т. д.). Широкий спектр продукции Microsemi, тестируемой для вероятной совместной работы, предоставляет эту возможность. Рассмотрим способ организации питания ПЛИС семейств RTAX при помощи микросхем преобразователей напряжения от компании Microsemi [3].
Особенности цепей питания радиационно-стойких ПЛИС серий RTAX-S/SL и RTAX-DSP Как известно, основное назначение системы управления питанием ПЛИС — обеспечение самой ПЛИС и связанных с ней схем стабилизированным напряжением во всех режимах работы в заданных пределах изменения нагрузки. На выбор подходящего решения влияет ряд факторов, среди которых важное значение имеют условия среды эксплуата-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ции, флуктуации входного напряжения, наличие дополнительных защитных функций. К тому же по потребляемой мощности ПЛИС значительно отличаются от других интегральных схем. На ПЛИС реализуется неограниченное число различных конфигураций схемы, действующих на разных тактовых частотах и, следовательно, потребляющих разную мощность. А поскольку исходным параметром для проектирования системы питания ПЛИС является потребляемая мощность, разработчик должен иметь максимально четкое представление о проектируемой системе. Для этого необходимо определить: • тактовую частоту ПЛИС; • количество задействованных ресурсов ПЛИС; • скорость передачи данных, осуществляемой ПЛИС; • число необходимых питающих напряжений и отдельных источников питания; • диапазон рабочих температур. ПЛИС семейства RTAX состоят из цифровых логических вентилей, встроенной статической RAM-памяти, цепей синхронизации и нескольких входных/выходных буферов. Для питания отдельных функциональных блоков ПЛИС используются различные уровни напряжения. Помимо обязательного для всех ПЛИС источника питания ядра, большинство сложных ПЛИС, к которым относится семейство RTAX, испытывают потребность и в отдельных источниках напряжения для блоков ввода/вывода и дополнительных функциональных цепей: • Vсс — напряжение питания ядра ПЛИС. Является основным питающим напряжением, для семейства RTAX используется источник с выходным напряжением 1,5 В, от тока которого во многом зависит общее энергопотребление ИС. Величина потребляемого тока Icc определяется его статической и динамической составляющими. Статический ток имеет постоянное значение, которое можно узнать из спецификации. Динамический же ток пропорционально возрастает с частотой функционирования проекта и зависит от количества используемых логических ячеек, характера логической структуры проекта на кристалле, параметров режима внешних выводов ПЛИС и т. д. • V ccibx — напряжение питания блоков ввода/вывода (х = 0…7). Все восемь блоков в зависимости от требований разработчика могут запитываться от источников с напряжениями 1,5; 2,5 или 3,3 В. Динамическая составляющая тока I cccbx зависит от стандартов, по которым сконфигурированы отдельные цепи ввода/вывода, количества блоков, рабочей частоты и т. д. Ток Icccbx обычно имеет величину, сравнимую со значением Icc. • Vccda — напряжение питания вспомогательных блоков ПЛИС, к которым, например, относятся дифференциальные
компоненты
61
усилители интерфейсов, модуль JTAG отладки, устройства управления тактовой частотой и т. д. Данные устройства более чувствительны к шуму, и поэтому Vccda имеют более высокие требования по развязке для минимизации наведенного шума. Напряжение V ccda может принимать два значения: 2,5 или 3,3 В. Ток Iccda по сравнению с Icc и Icccbx имеет на порядок меньшее значение. • V pump — вход напряжения питания от внешнего «зарядового насоса». Может быть подключен к источнику с напряжением 3,3 В либо через резистор с сопротивлением 1 кОм подтянут к общему проводу. В последнем случае будет использоваться встроенный генератор подкачки заряда. Поскольку общее энергопотребление ПЛИС в значительной степени зависит от индивидуального схемотехнического решения, для расчета необходимо уточнить степень использования кристалла, производительность проектируемой схемы и т. д. Возьмем для примера разрабатываемое устройство на базе ПЛИС RTAX250SL-CG624, детальные характеристики системы приведены в таблице 2. Таблица 2. Параметры рассматриваемой системы на ПЛИС Наименование ПЛИС
RTAX250SL-CG624 (Microsemi)
Основная тактовая частота
125 МГц
Логика
Используется 80% (1126 R-ячеек, 2253 C-ячеек) 80% всей логики работает на частоте125 МГц
Вход/Выход
Используются все входы/выходы; 50% как вход (93), 50% как выход (93); тип логики — 3,3 В LVTTL с максимальным выходным током 8 мА; входы работают на частоте 125 МГц, выходы — на 12,5 МГц
Для оценки общей величины энергопотребления компанией Microsemi предлагается удобный программный продукт [4], представляющий собой макрос для программы Microsoft Excel. Воспользовавшись данным инструментом, получили следующие результаты (табл. 3). Таблица 3. Результаты подсчета энергопотребления Силовая шина
Ток, мА
Vcc (напряжение 1,5 В)
248
Мощность, Вт 0,372
Vccib (напряжение 3,3 В)
231
0,762
Общее потребление
—
1,13
Далее следует определить максимальную мощность, которую ПЛИС RTAX-S в корпусе CG624 способна отвести, и убедиться, что рассеиваемая мощность разрабатываемой системы не превышает этой величины. Так как оборудование космического назначения функционирует в условиях отсутствия атмосферы, то для отвода тепла применяются системы принудительного охлаждения, причем радиатор охлаждающего контура непосредственно связан с корпусом микросхемы. www.kite.ru
компоненты
Х Х
Х Х (при низких уровнях мощности)
Х (при высоких уровнях мощности)
Для подсчета воспользуемся следующим выражением:
где T ПЛИС — максимальная температура ПЛИС, °С; TP — максимальная температура радиатора, °С; θПЛИС —– тепловое сопротивление RTAX250SL в корпусе CG624, °С/Вт; θТП — тепловое сопротивление термопасты, °С/Вт. По результатам расчета видно, что существует значительный запас по теплоотводу.
Радиационно-стойкие преобразователи напряжения компании Microsemi для питания ПЛИС Как только определена максимальная мощность и требуемые напряжения питания ПЛИС, можно выбрать тип преобразователя. Для питания ПЛИС предназначены как линейные, так и импульсные преобразователи напряжения, отличительные особенности которых приведены в таблице 4. Рассмотрим подробнее предлагаемое Microsemi семейство радиационно-стойких высоконадежных источников питания, состоящее из DC/DC-преобразователей типа POL (серия MHP856X), гальванически изолированных SA50-28/120 и SA30-100 с высокой выходной мощностью, линейных регуляторов MHL117X, выполненных по гибридной технологии, и линейных стабилизаторов напряжения MHL860X/MHL870X со сверхмалым падением напряжения. Импульсные POL-преобразователи Преобразователи типа POL (point-of-load), размещаемые в непосредственной близости от питаемого функционального узла, представлены семейством MHP856X (табл. 5). Данные компоненты обеспечивают максимальную гибкость благодаря набору вспомогательных функций и разработаны для формирования стабильного напряжения в жестких условиях окружающей среды (при рабочих температурах от –55 до +125 °C и воздействии радиации) [5]. Они обладают превосходной
√ √
√ √ √
√
√ √ √ √ √ √ √
√
√ √ √ √
Вывод Enable
Измерение выходного напряжения
Фиксированный
√ √ √
√ √ √ √
радиационной стойкостью — значение суммарной дозы поглощенного ионизирующего излучения до 300 крад. Также гарантируется отсутствие одиночных эффектов при значениях пороговых линейных потерь энергии (ЛПЭ) ионов в веществе до 58 МэВ·см2/мг. Диапазон входных напряжений от 4,5 до 16 В постоянного тока, выходное напряжение фиксированное либо регулируемое в пределах от 0,5 до 4 В с погрешностью установки, не превышающей 1%. Низкий уровень пульсаций и шумов обеспечивается встроенными фильтрами на входе и выходе, а выходной ток может достигать 18 А (для 4‑канального преобразователя). Среди вспомогательных и защитных функций следует отметить возможность параллельного включения преобразователей, плавного запуска для уменьшения токовой перегрузки при включении, использования внешней синхронизации частоты преобразования, наличие дистанционного включения/выключения, контроля тока, защиты от перегрузки и короткого замыкания. Понижающий ШИМ-контроллер, катушка индуктивности, фильтрующие конденсаторы на входе и выходе объединены в одном компактном металлическом корпусе. Высокое значение КПД (до 87%) в широком диапазоне выходных мощностей уменьшает проблемы, связанные с рассеиваемой тепловой мощностью (рис. 1). В зависимости от количества выходных каналов, а также от величины вы-
Регулируемый
3,5 3,5 4,5 4,5 4,5 4,5 18 18
Flat Pack
0,5–4 0,5–4 0,5–4 0,5–4 0,5–4 0,5–4 1–3,3 0,5–10
SIP
5 5 5 5 5 5 5 12
Дополнительные функции
Выход
Внешняя синхронизация
Х
MO-078
MHP8565A MHP8565P MHP8564A MHP8564F MHP8564R MHP8564S MHP8566A MHP8567A
Х
Тип корпуса
Параллельное включение
Низкая стоимость
Х
Максимальный выходной ток, А
X
Диапазон выходных напряжений, В
Параметр Минимальный выходной шум Высокая эффективность Быстрая переходная характеристика Выходное напряжение меньше входного Выходное напряжение больше входного Минимальная площадь, занимаемая на печатной плате
Импульсный стабилизатор
Напряжение питания, В
Таблица 5. Основные характеристики POL-преобразователей Microsemi
Таблица 4. Сравнение линейных и импульсных стабилизаторов напряжения Линейный стабилизатор
источники питания
Наименование
62
√ √ √ √ √ √
√ √ √
Примечание
малогабаритный малогабаритный
4 выхода 4 выхода
ходного тока применяются три вида малогабаритных герметичных корпусов: • 5‑выводный MO‑078 (27328 мм); • 16‑выводный SIP (35,3369,8 мм); • 42‑выводный Flat pack (82,582,511,2 мм). Отдельного внимания заслуживают четырехканальные регуляторы MHP8566A и MHP8567A. Данные модули состоят из четырех независимых POL-преобразователей, п о л н о с т ь ю э к в и в а л е н т н ы х м о д ул ю MHP8564S. В отличие от MHP8566A, оптимизированного для входного напряжения 5 В, преобразователь MHP8567A применяет 12‑В шину питания, а выход регулируется в пределах от 0,5 до 10 В. Возможность параллельного соединения каждой из четырех частей в произвольной комбинации позволяет использовать MHP8566A и MHP8567A для питания одной или нескольких нагрузок. Изолированные DC/DC-преобразователи с высокой выходной мощностью Новые серии радиационно-стойких гальванически изолированных DC/DC-преобразователей SA50-28, SA50-120 и SA30-100, предназначенные для применения в аппаратуре военных и коммерческих космических аппаратов, характеризуются высокой выходной мощностью до 50 Вт и КПД свыше 86% [6]. В настоящее время для разработчиков доступны несколько модификаций, отличающихся
Рис. 1. Эффективность преобразователя MHP8565
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
компоненты
источники питания
входными напряжениями, адаптированными для стандартных шин питания 28 и 100 В, а также различными комбинациями выходного напряжения и тока. Изготовленные в унифицированном корпусе, DC/DC-преобразователи имеют от 1 до 3 выходных каналов со значениями +3,3 В/+5 В (4/6 А), ±12 В/±15 В (1/1,25 А), выходное напряжение можно регулировать в пределах ±10%. Данные DC/DC-модули обеспечивают реализацию всех этапов преобразования напряжения, способствуют снижению веса разработки, стоимости и размера силовой подсистемы. Они могут быть подключены непосредственно к солнечным батареям космического аппарата и выдавать напряжения, необходимые для питания ПЛИС, DSP, микроконтроллеров, центральных процессоров и других цифровых и аналоговых компонентов. Все преобразователи обладают функциями защиты от перегрузки по току, короткого замыкания и пониженного входного напряжения, дистанционного включения/выключения и внешней синхронизации. Среднее время наработки на отказ 5 млн часов при эксплуатации в широком диапазоне рабочих температур от –55 до +125 °C. Радиационная стойкость по общей накопленной дозе достигает величины 100 крад, что соответствует требованиям стандартов MIL-STD‑1547B и MIL-STD‑461. Типовая схема подсоединения приведена на рис. 2, она не требует дополнительных внешних компонентов за исключением фильтрующих конденсаторов с низким ESR на входе. Линейные преобразователи с регулируемым выходом Данное семейство в настоящее время представлено сериями линейных стабилизаторов положительного (MHL117X) и отрицательного (SGR137x) напряжений, а также регулятором SGR117A с рекордным показателем TID [7]. Все компоненты, сертифицированные на основе требований стандарта MIL-PRF‑38534 (Класс K) для применения в аппаратуре космических аппаратов и военном оборудовании, изготавливаются в виде герметизированных модулей в малогабаритных корпусах D‑Pack (MHL117X) и TO‑3, TO‑66, TO‑39, TO‑257 (SGR137x и SGR117A). Минимальная накопленная доза, при которой начинают проявляться радиационные эффекты, составляет не менее 100 крад, кроме того, гарантирована высокая стойкость к возникновению одиночных событий: отсутствие однократных восстанавливаемых отказов (SET) при ЛПЭ до 15 МэВ·см 2/мг и тиристорных эффектов (SEL), вызванных ионизацией, до 87,4 МэВ·см2/мг. Все внутренние компоненты стабилизаторов тщательно отобраны, и их параметры зафиксированы для гарантии надежной работы при заданных условиях окружающей среды. Это прекрасный выбор для устройств, где требуются высокая радиационная стойкость и стабильное выходное напряжение с малым уровнем шума.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
63
Рис. 2. Типовая схема подключения преобразователя SA50-28
Серия MHL117X с максимальным выходным током 1,5 А характеризуется широким диапазоном входных напряжений (до 40 В). Регулировка выходного напряжения в пределах от 1,25 до 37 В осуществляется при помощи двух внешних резисторов, причем погрешность его установки не превышает 1%. Выходное напряжение серии SGR137x может принимать значения от –1,25 до –36 В с погрешностью в 1% при входных 40 В. Превосходная температурная стабильность во всем рабочем диапазоне от –55 до +125 °C, наличие защиты от превышения температуры и схемы ограничения выходного тока обеспечивают безопасное функционирование питаемого оборудования. Регулятор SGR117A представляет собой высоконадежную радиационно-стойкую версию широко известных LM117A и LM117. Гарантирует стабильное выходное напряжение от 1,25 до 36 В и ток нагрузки до 1,5 А при суммарной поглощенной дозе радиации до 1000 крад.
Линейные стабилизаторы напряжения со сверхмалым падением напряжения Для питания устройств, требовательных к уровню шумов, предпочтение зачастую отдается линейным стабилизаторам напряжения со сверхмалым падением напряжения. Основное требование, предъявляемое к стабилизаторам данного типа, — высокая точность выходного напряжения, сохраняющаяся в условиях воздействия переменной температуры, радиации и других дестабилизирующих факторов. Линейные стабилизаторы положительного напряжения MHL860X (рис. 3) и MHL870X со сверхмалым падением напряжения и радиационной стойкостью до 300 крад изготавливаются в герметичных корпусах MO‑078 и SIP‑7 соответственно (табл. 6). Они позиционируются для применения в аппаратуре космических аппаратов в качестве локализованных к нагрузке стабилизаторов, а также для дополнительной стабилизации напряжения после DC/DC-преобразователей [8].
Рис. 3. Типовая схема подключения преобразователя MHL8601 с регулируемым выходным напряжением
Таблица 6. Основные характеристики радиационно-стойких ULDO-стабилизаторов Microsemi Наименование
Vdo, В (макс.)
Iout, А
Vin, В
Vout, В
TID, крад
Корпус
MHL8601A3 MHL8605A3 MHL8601A5 MHL8605A5 MHL8601F325 MHL8605F320 MHL8601F530 MHL8605F533 MHL8701A3 MHL8705A3 MHL8701A5 MHL8705A5 MHL8701F325 MHL8705F320 MHL8701F530 MHL8705F533
0,4 0,5 0,4 0,5 0,4 0,5 0,4 0,5 0,4 0,6 0,4 0,6 0,4 0,6 0,4 0,6
3 5 3 5 3 5 3 5 3 5 3 5 3 5 3 5
от 2,9 до 3,6 от 2,9 до 3,6 от 4,5 до 5,5 от 4,5 до 5,5 от 2,9 до 3,6 от 2,9 до 3,6 от 4,5 до 5,5 от 4,5 до 5,5 от 2,9 до 3,6 от 2,9 до 3,6 от 4,5 до 5,5 от 4,5 до 5,5 от 2,9 до 3,6 от 2,9 до 3,6 от 4,5 до 5,5 от 4,5 до 5,5
от 1,265 до 2,5 от 1,265 до 2,5 от 1,265 до 4 от 1,265 до 4 2,5 2 3 3,3 от 1,265 до 2,5 от 1,265 до 2,5 от 1,265 до 4 от 1,265 до 4 2,5 2 3 3,3
300 300 300 300 300 300 300 300 300 300 300 300 300 300 300 300
MO-078 MO-078 MO-078 MO-078 MO-078 MO-078 MO-078 MO-078 SIP-7 SIP-7 SIP-7 SIP-7 SIP-7 SIP-7 SIP-7 SIP-7
www.kite.ru
64
компоненты
источники питания
Рис. 4. Схема источника питания на основе импульсных преобразователей напряжения компании Microsemi
Компоненты данной серии оптимизированы для входных напряжений +5 и +3,3 В, падение напряжения не превышает 0,4 В для 3-А версии и 0,6 В для 5-А, выходное напряжение при этом может быть фиксированным либо регулируемым в пределах от 1,265 до 4 В. Встроенные фильтрующие LC‑цепочки проходят испытания в соответствии с методами, изложенными в стандартах MIL-PRF‑123 и MIL-PRF‑55365. Возможность дистанционного отключения и защита от превышения заданной температуры обеспечивают безопасность эксплуатации. Все компоненты тестируются на соответствие требованиям стандарта MIL-PRF‑38534, производителем гарантируется отсутствие одиночных эффектов при ЛПЭ до 85 МэВ·см2/мг. Вернемся к нашей условно проектируемой системе (рис. 4). На основании вышеизложенной информации и требований из таблицы 3 определимся с DC/DC-преобразователями. Выбранные преобразователи отличаются наличием дополнительного входа дистанционного отключения, так как зачастую для некоторых типов ПЛИС необходима определенная последовательность подачи питающих напряжений:
1. Выбор преобразователя для питания ядра RTAX-S. Для питания ядра ПЛИС напряжением 1,5 В и током 248 мА выбираем преобразователь MHP8565 (максимальный выходной ток 1250 мА). Согласно справочной информации при таком токе нагрузки КПД составит 87%. Тогда требуемый входной ток должен быть I вх = = (1,5248)/(0,875) = 85 мА. 2. Выбор преобразователя для питания входных/выходных блоков RTAX-S. Выбираем преобразователь из этой же серии MHP8565, но с выходным напряжением 3,3 В (максимальный выходной ток 3000 мА). Требуемый входной ток составляет = (3,3231)/(0,875) = 175 мА. Также в качестве альтернативы можно использовать один четырехканальный MHP8566 для питания как ядра, так и входных/выходных блоков. 3. Выбор первичного источника питания. Суммарный ток, потребляемый двумя стабилизаторами MHP8565, равен 85 + 175 = = 260 мА. В качестве источника питания с выходным напряжением 5 В выбираем DC/DC-преобразователь SA50, работающий со стандартными шинами 28 или 120 В (максимальный выходной ток 4000 мА). В первом случае его КПД составит 83%, во втором — 86%. В результате получаем надежную радиационно-стойкую схему питания с минимумом внешних компонентов и высокими показателями наработки на отказ. Аналогичным образом производится выбор линейных стабилизаторов для организации схемы питания.
Реклама
Заключение Надежность функционирования оборудования космического назначения неразрывно связана с качеством его источников питания. Выбор импульсных и линейных преобразова-
телей напряжения компании Microsemi, необходимых для построения системы питания высокопроизводительных ПЛИС, производится с учетом множества факторов, ключевое значение среди которых имеют радиационная стойкость, стабильность выходного напряжения и уровень его шумов, а также высокая наработка на отказ при эксплуатации в жестких условиях окружающей среды. Радиационностойкие преобразователи питания компании Microsemi представляют собой разумный компромисс между качеством и стоимостью конечных изделий. Преобразователи с регулируемыми и фиксированными выходными напряжениями обеспечивают высокие показатели эффективности и технические характеристики, соответствующие требованиям к питанию современных цифровых нагрузок. Компоненты доступны в малогабаритных корпусах, приспособленных для условий космического полета, и требуют минимального количества дополнительных элементов для построения высокоn надежных стабилизаторов питания.
Литература 1. www.microsemi.com 2. Microsemi Product Portfolio 2013–2014. 3. Application Note. Designing Radiation-Tolerant Power-Supplies for the RTAX-S/SL/DSP FPGA. September 2012. 4. www.microsemi.com/soc/documents/AX_ RTAXSPowerCalculator.zip 5. Alan Tasker. Application Note. Radiation Hardened Point-of-Load Regulators, MHP8564/8565/8566/ 8567. — 2011. 6. Technical Datasheet. Radiation Hardened Isolated DC/DC Converters SA50-28-5/15T. Rev A. 7. Advanced Datasheet. Rad Hard 1.5 Amp Three Terminal Adjustable Voltage Regulator SGR117A. Rev. 1.2 8. Technical Datasheet. MHL117/MHL117A/ MHL117B/MHL117Q. Rev.9.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
Реклама
65
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
www.kite.ru
компоненты
66
АЦП/ЦАП
Конвейерный АЦП компании «Миландр»
Антон Гуменюк, к. т. н. gumenuk.anton@ic-design.ru
Введение Одной из тенденций последних десятилетий является быстрое развитие цифровых электронных систем. Вместе с тем всегда необходимы средства, обеспечивающие их связь с внешним миром. Это аналого-цифровые и цифро-аналоговые преобразователи. Одной из важнейших сфер применения высокоскоростных АЦП с широким динамическим диапазоном являются телекоммуникационные и радиотехнические системы, где все чаще используются методы прямого преобразования сигналов в тракте высокой или промежуточной частоты без предварительного сдвига в основную полосу частот. В таких приложениях важно, чтобы АЦП имел широкую полосу пропускания и широкий динамический диапазон для регистрации слабых сигналов на фоне сильных помех, поскольку основная селективность обеспечивается цифровыми средствами. Несмотря на наличие большого числа семейств АЦП рассматриваемого класса, кото-
В статье представлен первый конвейерный аналого-цифровой преобразователь (АЦП) 5101НВ025 в разрабатываемой линейке АЦП компании «Миландр». Микросхема имеет встроенный программируемый источник опорного напряжения, блок коррекции скважности тактового сигнала, интерфейс программирования SPI. Выходные данные передаются через КМОП- или LVDS-интерфейс.
рые выпускает ряд зарубежных компаний, необходимы и быстродействующие АЦП высокой точности российского производства. В настоящее время потребность в таких микросхемах стала особенно острой в связи с тем, что они являются важной составной частью, например, программно-определяемых радиосистем (software-define radio, SDR), используемых в специальной технике и перспективных устройствах связи массового применения. Первый быстродействующий 14‑разрядный АЦП в линейке преобразователей компании «Миландр» К5101НВ025, выполненный по технологии 0,18 мкм, достигает соотношения сигнал/шум 64 дБ и диапазона, свободного от гармоник, 75 дБ при частоте выборки 75 Мвыб./c.
Структура микросхемы АЦП Функциональная схема устройства представлена на рис. 1. Входной аналоговый дифференциальный сигнал подается через
выводы VINP/VINN на входное устройство выборки/хранения (УВХ) и далее обрабатывается ядром 14‑битного АЦП. Система цифровой постобработки получает цифровой эквивалент обрабатываемой выборки и осуществляет цифровую коррекцию и суммирование результатов преобразования каждого каскада. Через последовательный интерфейс SPI осуществляется программирование различных режимов микросхемы. Входной тактирующий дифференциальный сигнал через выводы CLKP/CLKN поступает на внутреннюю схему тактирования, в состав которой входят входной буфер (CLK BUF), отключаемая схема коррекции скважности (DCC) и логика формирования неперекрывающихся импульсов (ЛФНИ). Семейство тактовых импульсов, получаемых на выходе ЛФНИ, через цепочку буферов подается на каскады ядра АЦП, начиная с последнего. Это позволяет обеспечить синхронную работу конвейера независимо от параметров техпроцесса и окружающей среды. Выходной интерфейс осуществляет вывод данных в двух режимах: параллельном КМОП и LVDS/DDR (с двойной скоростью). Схема формирования опорных напряжений допускает использование как внутреннего, так и внешнего опорного напряжения, определяющего величину шкалы преобразования. Есть возможность программирования опорного напряжения с помощью внешних резисторов подстройки. На выводе VCM устанавливается напряжение, которое может быть использовано для задания синфазного уровня входных аналоговых цепей. Преобразователь работает с двумя напряжениями питания — 3,3 В для аналоговой и интерфейсной частей и 1,8 В для внутренних цифровых блоков.
Используемая конвейерная архитектура Рис. 1. Структура микросхемы АЦП
Традиционные конвейерные архитектуры делятся на два класса: с одноразрядными
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
АЦП/ЦАП
компоненты
67
Рис. 2. Функциональная схема используемой конвейерной архитектуры
и многоразрядными каскадами. Архитектура, использующая только одноразрядные каскады, относительно проста, однако она требует значительных энергетических затрат и занимает большую площадь, это необходимо для того, чтобы скомпенсировать погрешности множества каскадов. Архитектура с многоразрядными каскадами позволяет уменьшить влияние последующих каскадов на линейность преобразования и соотношение сигнал/шум. С другой стороны, увеличение разрядности сопровождается уменьшением глубины обратной связи операционного усилителя (ОУ) в каскаде, что ограничивает скорость преобразования АЦП. В поисках компромисса между потребляемой мощностью, линейностью и требованиями к ОУ для данной микросхемы был выбран вариант архитектуры с двумя первыми каскадами разрядности 2,5 бита и последующими 1,5‑битными каскадами. Функциональная схема используемой архитектуры представлена на рис. 2. Она содержит УВХ, цепочку последовательно
включенных каскадов, каждый из которых формирует часть разрядов выходного кода, а также блок цифровой коррекции результата. УВХ фиксирует аналоговый сигнал в моменты времени, соответствующие частоте дискретизации. В состав каждого каскада входит параллельный АЦП, выход которого преобразуется обратно в аналоговый эквивалент, вычитается из входного сигнала, а сформированный остаток умножается на коэффициент усиления и подается на вход следующего каскада. Все эти операции осуществляются многофункциональными умножающими цифро-аналоговыми преобразователями (МЦАП). Последний каскад, не требующий формирования остатка, представляет собой АЦП параллельного типа. Выходной двоичный код каждого каскада, кроме последнего, является избыточным, что позволяет осуществлять цифровую коррекцию результата и значительно снизить требования к точности элементов каскадов, в частности, к разрешающей способности компараторов [1].
Схемотехническая реализация Входное УВХ Разработанное УВХ (рис. 3а, б) построено на основе структуры с переворачивающимся конденсатором [2]. На этапе выборки сигнальная обкладка каждого из конденсаторов подключена к входу, а на этапе хранения — к выходу. При смене режима меняется направление подключения конденсаторов, а заряды на емкостях не меняются. Недостаточное напряжение открытия и нелинейность входного ключа приводят к нелинейным искажениям. Схема с вольтодобавкой [3] поддерживает сопротивление ключа S1 в замкнутом состоянии постоянным (около 15 Ом) во всем диапазоне входных напряжений. Для снижения уровня шума и увеличения быстродействия ключ S2 также выполнен по схеме с вольтодобавкой. Операционный усилитель в составе УВХ должен обеспечивать более чем 14‑битную точность при максимальной скорости преобразования. На рис. 3в представлена схема раз-
в
а
б
Рис. 3. Схема УВХ (а) и временная диаграмма его работы (б); схема разработанного ОУ, используемого в УВХ (в)
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
www.kite.ru
компоненты
68
а
АЦП/ЦАП
б
структура позволила достичь высокого усиления по постоянному току, большого выходного размаха и высокого уровня линейности. Каждый из каскадов ОУ стабилизируется собственной обратной связью по синфазному сигналу (ОССС) на переключаемых конденсаторах. Ослабить зависимость рабочих точек в первом каскаде ОУ от уровня входного синфазного сигнала позволила схема смещения I0–R1–R2. Транзистор MR, включенный между выходами первого каскада, облегчает сброс усилителя в фазе CLMP.
в
Результаты измерений
Рис. 4. Схема 2,5-битного каскада (а); временная диаграмма работы каскада (б); вид переходного процесса на выходе каскада для случая, когда управляющий сигнал CLMP совпадает с F1d (пунктирная линия), и для случая, когда CLMP — это задержанная версия F1d (в)
На рис. 6 представлена фотография кристалла АЦП 5101НВ025 с указанием расположения основных блоков микросхемы. Измеренный диапазон, свободный от гармоник (SFDR), и соотношение сигнал/шум+искажения (SNDR) составили 75 и 64 дБ соответственно при частоте входного сигнала 10 МГц и частоте тактирования 75 Мвыб./c. При частоте входного сигнала 70,4 МГц и той же частоте выборки SFDR будет равен 72, а SNDR — 58 дБ (рис. 7). Статические характеристики, измеренные гистограммным методом, представлены на рис. 8. Интегральная нелинейность составила ±8 МЗР (младший значащий разряд), дифференциальная — от –0,6 до 0,9 МЗР. Результаты измерений сведены в таблицу. n
Рис. 5. Схема ОУ в составе 2,5‑битного каскада
работанного ОУ. Высокий коэффициент усиления по постоянному току (около 120 дБ) достигается с помощью локальных усилителей, повышающих выходное сопротивление каскодных транзисторов M1–M10. Стабильность синфазного уровня выходного сигнала обеспечивается обратной связью на переключаемых конденсаторах, которая контролирует напряжение на затворах транзисторов M8–М9. При нормальных условиях полоса пропускания ОУ составляет около 500 МГц. 2,5‑битный каскад Упрощенная схема первого 2,5‑битного каскада представлена на рис. 4а. В фазе выборки F1(F1d) ключи S1 и M0 открыты, а конденсаторы CS и CH заряжаются до входного напряжения. В этой фазе ОУ сбрасывается с помощью транзистора M1. По переднему фронту сигнала LATCH срабатывают компараторы 6‑уровневого АЦП. В фазе получения остатка F2d ключи SH открываются, обратная связь замыкается
и на выходах ОУ начинает устанавливаться напряжение, равное 4(VinP–VinN). Через некоторое время, определяемое задержкой срабатывания компараторов в АЦП и управляющей логики, приходит сигнал DDATA (рис. 4б), при этом соответствующие опорные напряжения подключаются к конденсаторам CS. В результате напряжение на выходах ОУ начинает стремиться к 4(VinP–VinN)–DV R , где D — полученный АЦП код, а VR — опорное напряжение. В результате переходный процесс на выходе каскада выглядит, как показано на рис. 4в (пунктирная линия). Избежать всплесков выходного напряжения помогает задержка сигнала CLMP. Сплошной линией на рис. 4в показан переходный процесс для случая, когда ОУ выводится из состояния сброса после прихода сигнала DDATA. Упрощенная схема ОУ, используемого в первом каскаде, приведена на рис. 5. Он представляет собой двухкаскадный усилитель с частотной коррекцией Миллера. Такая
Рис. 6. Микрофотография кристалла
Таблица. Измеренные параметры АЦП Разрядность, бит
N
14
Частота преобразования, МГц
fs
75
Соотношение сигнал/шум+искажения, дБ (при fIN = 10 МГц)
SNR
64
Динамический диапазон, свободный от гармоник, SFDR дБс (при fIN = 10 МГц) Интегральная нелинейность, МЗР
75
INL
±8
Дифференциальная нелинейность, МЗР
DNL
–0,6… 0,9
Напряжение питания (аналоговое), В
Vdd,a
3,3
Полная шкала, В
VFS
2
Типовой потребляемый ток (аналоговый), мА
Isup
315
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
АЦП/ЦАП
компоненты
69
Рис. 7. Измеренный спектр на выходе АЦП при частоте входного сигнала 70,4 МГц и частоте тактирования 75 Мвыб./c
Литература
Рис. 8. Измеренные интегральная и дифференциальная нелинейности
Реклама
1. Cho T. B., Gray P. R. A 10 b, 20 Msample/s, 35 mW Pipeline A/D Converter // IEEE J. Solid-State circuits. 1995. V. 30. No 3. 2. Гуменюк А. С., Бочаров Ю. И. Устройства выборки/хранения быстродействующих АЦП // Микроэлектроника. 2007. Т. 36. № 5. 3. Dessouky M., Kaiser A. Very Low-Voltage Digital-Audio DS Modulator with 88‑dB Dynamic Range Using Local Switch Bootstrapping // IEEE J. Solid-State Circuits. 2001. V. 36. No 3. 4. www.milandr.ru
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
www.kite.ru
компоненты
70
память
Новая 2-Мбит EEPROM от ON Semiconductor
Вячеслав Гавриков Гузелия Сафиуллина
Новая память EEPROM CAT25M02VI-GT3 семейства CAT25M02 с рекордным объемом 2 Мбит предназначена для работы в жестких условиях промышленного производства. Микросхема может применяться в широком диапазоне температур и питающих напряжений. Она обладает низким энергопотреблением, высокой скоростью записи/чтения и предназначена для широкого круга применений: в интеллектуальных электросчетчиках, медицинских приборах (например, слуховых аппаратах), сетевых картах, системах цифровой обработки данных и различной промышленной электронике.
О
сновными стратегическими направлениями развития современной электроники являются уменьшение габаритов и снижение энергопотребления. Однако существует множество областей, где более критичными являются такие свойства электронных компонентов, как надежность и способность работать в широком диапазоне температур и питающих напряжений. Одной из таких областей является промышленная электроника: приводы электродвигателей, промышленные компьютеры, промышленные сетевые контроллеры и др. Новые микросхемы CAT25M02VI-GT3 способны работать в самых жестких условиях и, кроме того, обладают большой емкостью, высокой скоростью записи/чтения и низким энергопотреблением.
Общая характеристика CAT25M02VI-GT3 Память CAT25M02VI-GT3 является представителем семейства CAT25M02 от ON Semiconductor. CAT25M02VI-GT3 представляет собой EEPROM объемом 2 Мбит с последовательным интерфейсом SPI. На настоящий момент объем памяти в 2 Мбит является рекордным не только для семейства CAT25M, но и для всей отрасли в целом. CAT25M02VI-GT3 обладает преимуществами перед аналогичными микросхемами и соответствует устоявшимся в промышленности требованиям к памяти:
Символ A A1 b c D E E1 e h L q
Рис. 1. Габаритные размеры CAT25M02VI (SOIC8)
Значение, мм min
ном.
1,35 0,1 0,33 0,19 4,8 5,8 3,8
max 1,75 0,25 0,51 0,25 5 6,2 4
1,27 0,25 0,4 0°
0,5 1,27 8°
стандартный корпус, стандартное назначение выводов, стандартный интерфейс связи, стандартный протокол обмена. Это позволяет применять данную микросхему в уже разработанных устройствах, для замены микросхем памяти не только производства ON Semiconductor, но и других производителей. Характеристики памяти CAT25M02VI-GT3, соответствующие устоявшимся стандартам: • корпус SOIC8 (рис. 1); • стандартное расположение выводов (рис. 2); • организация памяти — 256 кбайт по 8 бит; • дополнительная страница хранения идентификаторов с индивидуальной возможностью программной защиты от перезаписи; • последовательный коммуникационный интерфейс SPI, реализуемый с помощью четырех линий: – выбор кристалла (CS, Chip Select); – последовательный выход (SO, Serial Output); – последовательный вход (SI, Serial Input); – тактовый вход (SCK, Serial Clock). • дополнительный вывод WP (Write Protect), позволяющий реализовать аппаратную защиту от записи; • вывод HOLD для приостановки обмена с данными CAT25M02VI-GT3; • обмен данными с процессором путем стандартного набора инструкций (табл. 1): – записи/чтения данных — WRITE/READ; – по защите данных — WREN/WRDI; – по работе с регистром состояния — RDSR/WRSR; • наличие возможности аппаратной и программной защиты данных.
Название Номер CS
Назначение
1
Выбор кристалла (SPI) Выход данных (SPI)
SO
2
WP
3
Защита от записи
VSS
4
Вывод питания (общий)
SI
5
Вход данных (SPI)
SCK
6
Тактовый сигнал (SPI)
HOLD
7
Задержка обмена данными
VCC
8
Вывод питания (+Uпит)
Рис. 2. Внешний вид и назначение выводов CAT25M02VI
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
память
Таблица 1. Набор инструкций CAT25M02VI Название
Код
Назначение
WREN
0000 0110
Инструкция разрешения записи
WRDI
0000 0100
Инструкция запрещения записи
RDSR
0000 0101
Инструкция записи в регистр состояния
WRSR
0000 0001
Инструкция чтения регистра состояния
READ
0000 0011
Инструкция чтения данных из памяти
WRITE
0000 0010
Инструкция записи данных в память
Для определения преимущества CAT25M02VI-GT3 над другими микросхемами памяти проведем сравнительный анализ с ее аналогами — CAT25AM02 и M95M02‑DRMN6 (STMicroelectronics).
Сравнительный анализ микросхем памяти семейства CAT25 от ON Semiconductor Микросхемы CAT25AM02 являются представителем того же семейства CAT25M от ON Semiconductor. Они обладают тем же объемом памяти, что и CAT25M02VI, но разработаны для применения в портативной электронике с батарейным питанием и имеют специфические характеристики. Сравнительный анализ показал целый ряд преимуществ CAT25M02VI (табл. 2): • Микросхема способна работать в более широком диапазоне питающих напряжений (1,7–5,5 В). • Микросхема имеет более широкий диапазон рабочих температур — –40…+85 °C. Кроме того, температура хранения составляет –65…+150 °C. Таблица 2. Сравнительные характеристики микросхем памяти Параметр
CAT25AM02 CAT25M02 M95M02DRMN6
Тип памяти Объем памяти, Мбит Максимальная тактовая частота, МГц Корпус Диапазон напряжений питания, В в режиме чтения, мА Максимальный в режиме ток записи, мА потребления в режиме ожидания, мкА Длительность цикла записи, мс Диапазон рабочих температур, °С Температура хранения, °С Количество циклов записи / чтения Время хранения данных, лет
EEPROM 2 5
10
WLCSP-8
5 SOIC8
1,6–3,6
1,7–5,5
1,8–5,5
0,8*
2*
3*
1*
2
3*
3* 10
• Скорость работы SPI-интерфейса — 10 МГц, в то время как у CAT25AM02 только 5 МГц. • Длительность цикла записи составляет рекордное значение в 6 мс, а в случае напряжения питания более 2,5 В — всего 3 мс. Важно отметить, что CAT25AM02 имеет рекордно низкие показатели по потреблению: ток потребления в режимах чтения/записи составляет всего 0,8/1 мА соответственно. В режиме ожидания потребление обеих микросхем не превышает 1 мкА. Нижний порог напряжения питания CAT25AM02 составляет 1,6 В, это ниже, чем у CAT25M02VIGT3. Кроме того, CAT25AM02 выпускается в миниатюрном корпусе WLCSP-8, что крайне важно в портативной электронике. Таким образом, можно сделать общий вывод, что использование CAT25AM02 будет предпочтительнее в потребительской, медицинской и другой электронике без особых требований к условиям окружающей среды, в то время как CAT25M02VI будет иметь значительное преимущество в промышленной электронике и электронике, работающей в жестких окружающих условиях.
Сравнительный анализ микросхем CAT25M02VI-GT3 и M95M02‑DRMN6 Проведем сравнительный анализ CAT25M02VI-GT3 и микросхемы EEPROM M95M02‑DRMN6. Последняя также разработана для применения в жестких условиях промышленного производства. Анализ показывает (табл. 1), что M95M02‑DRMN6 имеет большее количество циклов записи/чтения (4 млн). Бесспорно, в ряде приложений этот показатель может оказаться чрезвычайно важным. Однако CAT25M02VI-GT3 выгодно отличается от аналога по целому ряду параметров: • Более широкий диапазон питающих напряжений. • Меньшее потребление. Ток потребления в режимах чтения/записи составляет всего 2 мА, в то время как для M95M02‑DRMN6 аналогичные характеристики имеют значения 3 мА. Кроме того, в режиме ожидания
компоненты
71
потребление CAT25M02VI-GT3 составляет всего 3 мкА. • Большая скорость обмена по интерфейсу SPI. Для CAT25M02VI-GT3 максимальная скорость 10 МГц, в то время как у M95M02‑DRMN6 только 5 МГц. • Меньшее время цикла записи. Этот факт, с одной стороны, позволяет увеличить скорость работы с памятью, а с другой — приводит к уменьшению потребления. Таким образом, можно сделать вывод, что CAT25M02VI-GT3, не уступая по эксплуатационным параметрам, обладает лучшими характеристиками потребления и быстродействия.
Примеры применения микросхем семейства CAT25M02 Микросхемы памяти CAT25M02 могут применяться в различных областях электроники, от потребительской до промышленной. Приведем несколько конкретных примеров. Счетчики воды/газа/электричества Собранные счетчиком данные о потреблении воды/газа/электричества (рис. 3) необходимо передавать пользователю. Существует два пути передачи данных: 1. Полученные данные хранятся и периодически считываются. В этом случае объема CAT25M02 хватит для хранения не только текущих показаний, но и множества отсчетов для определения динамики потребления. 2. Данные не хранятся, а пересылаются по одному из интерфейсов (Ethernet, RS-485, радиоканалы и др.). В этом случае микросхема памяти может содержать параметры счетчика (серийный номер, коэффициенты преобразования и т. д.). Кроме того, CAT25M02 может использоваться для хранения данных в случае возникновения нарушений интерфейса передачи данных (например, обрыв кабеля). Сетевые карты Большинство Ethernet-контроллеров не имеет встроенной памяти для хранения настроек сети и данных адресации и исполь-
5* 6/3**
0…+85
10
–40…+85
–10…+90
–65…+150
1 млн
4 млн 100
Примечания: * — значения токов потребления приведены для: случая максимального напряжения питания 3,6 В (CAT25AM02), 5,5 В (CAT25M02 и M95M02‑DRMN6); всего рабочего диапазона температур. ** — уменьшение длительности цикла записи для CAT25M02 возможно при напряжениях питания более 2,5 В, после установки бита twc в регистре Status Register.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 3. Работа CAT25M02 в составе счетчика воды/газа/электричества
www.kite.ru
компоненты
72
память
обработки звука, в ней CAT25M02 хранит непосредственно исполнительный код DSP, коэффициенты фильтров, готовые таблицы расчетных значений функций и т. д.
Таблица 3. Микросхемы EEPROM-памяти ON Semiconductor Интерфейс Объем
Краткий обзор микросхем EEPROM от ON Semiconductor
Рис. 4. Работа CAT25M02 в составе сетевой карты
зует для этого внешнюю память (рис. 4). В данном случае требования к объему памяти не столь критичны, так как объем хранимых данных не велик. Более важным является стандартное расположение выводов CAT25M02. Это позволяет применять данную EEPROM вместо устаревших микросхем в уже разработанных устройствах. Системы цифровой обработки данных В системах цифровой обработки используются высокопроизводительные DSPпроцессоры и FPGA. Они зачастую не имеют встроенной энергонезависимой памяти и используют внешние EEPROM/Flash. Раньше EEPROM использовалась для достаточно простых проектов, так как она обладала не очень большим объемом. Появление CAT25M02 сняло это ограничение. На рис. 5 представлена обобщенная система цифровой
IС
SPI
2
2 Мбит 1 Мбит 512 кбит 256 кбит 128 кбит 64 кбит 32 кбит
Компания ON Semiconductor производит память с тремя типами коммуникационных интерфейсов: I2C, SPI, Microwire. Объем памяти составляет от 1 кбит до 2 Мбит (табл. 3). Выпускаются также микросхемы памяти для автомобильной электроники. ON Semiconductor является одним из лидеров производства микросхем EEPROM. С одной стороны, выпускаемые компанией микросхемы последовательной EEPROM совместимы с микросхемами других производителей (табл. 4). С другой стороны, всего несколько фирм производят память такого объема.
16 кбит 8 кбит 4 кбит
2 кбит 1 кбит
Заключение
CAT24M01 CAT24C512 CAT24C256 CAT24C128 CAT24C64 CAT24C32 CAT24C16, CAT24164, CAT24AA16 CAT24C08, CAT24AA08 CAT24C04, CAT24C05б CAT24AA04 CAT24C02, CAT24C03, CAT34C02, CAT24AA02 CAT24C01, CAT24AA01
Microwire
CAT25M02, CAT25AM02 CAT25M01 CAT25512 CAT25256 CAT25128 CAT25640 CAT25320 CAT25160
CAT93C86
CAT25080
CAT93C76
CAT25040
CAT93C66
CAT25020
CAT93C56, CAT93C57
CAT25010
CAT93C46, CAT93C46R
тать при температурах –40…+85 °C и допускает хранение при –65 до +150 °C. Все это делает эту микросхему памяти отличным выбором для устройств промышленной электроники: систем ЧПУ, приводов двигателей, систем сбора информации. n
ON Semiconductor выпускает широкую номенклатуру последовательной EEPROM, отвечающей самым высоким электрическим и эксплуатационным требованиям. Новая микросхема памяти CAT25M02VIGT3 имеет стандартный корпус, стандартное расположение выводов, общепринятый протокол обмена. В то же время она обладает рекордным объемом данных (2 Мбит), низким потреблением (всего 2 мА в режиме записи/чтения), высокой скоростью обмена (до 10 МГц), широким диапазоном питающих напряжений (1,7–5,5 В), способна рабо-
Литература 1. Datasheet. CAT25M02/D. Rev. 1. ON Semiconductor. 2014. 2. Datasheet. CAT25AM02/D. Rev. 1. ON Semiconductor. 2014. 3. Datasheet. M95M02‑DR. Rev. 8. ST Microelectronics. 2013.
Таблица 4. Семейства микросхем памяти от ON Semiconductor и их аналоги Тип памяти
Объем
Интерфейс
512 кбит
Семейства микросхем ONS
Atmel
STM
Seiko/Epson
CAT24C512
AT24C512
M24512
S-24C512
CAT24M01
AT24C1024
M24M01
S-24CM01
CAT25512
AT25512
M95512
S-25C512
CAT25M01
AT25M01
M95M01
S-25CM01
CAT25M02
–
M95M02
–
EEPROM
I2C
Рис. 5. Пример работы CAT25M02 в составе системы обработки звука
новости
1 Мбит 512 кбит 1 Мбит
SPI
2 Мбит
Microchip 24LC512, 24FC512, 24AA512 24LC1025, 24FC1025, 24AA1025 25LC512, 25A512, 25AA512 25LC1024, 25AA1024 –
разъемы
Разъемы серии M8x1 для монтажа на заднюю панель от CONEC Компания CONEC расширяет портфолио своих разъемов серии M8x1 (розетка) для монтажа на заднюю панель. Это прочные разъемы в цельнометаллическом корпусе, на минимальной площади которого расположено большое количество контактов. Устройства предназначены для прямого монтажа на плату и оборудованы пружинными или винтовыми зажимами для установки кабеля.
Характеристики: Количество контактов: 3, 4, 5, 8. Кодировка: A, B. Тип монтажа: на кабель, пайка в плату. Тип кабеля: PVC LiY. Вид установки: на заднюю панель. Степень защиты: IP67. Применение: • Автоматические сборочные машины. • • • • • •
• • • •
Охранные системы с проводным интерфейсом. Системы мониторинга. Системы транспортировки. Соединения двигателя. www.yeint.ru КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
Реклама
73
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
www.kite.ru
74
компоненты
ПЛИС
Управление матричным преобразователем частоты в элементном базисе программируемой логики
Сергей Сидоров, к. т. н. sidorov_ulstu@.mail.ru
Введение Управление МПЧ предполагает одновременное выполнение нескольких функций, осуществляемых, как правило, с помощью сигнального (DSP) микропроцессора и программируемых интегральных схем (ПЛИС) [1]. Снижения издержек можно добиться выполнением обеих функций на одном кристалле по принципу System on chip [2]. Реальное воплощение данного подхода стало возможным благодаря последним модификациям ПЛИС фирмы Altera семейства Cyclone. Их принципиальное отличие состоит в параллельном выполнении как логических, так и DSP-функций при высоком быстродействии с тактовой частотой до сотен мегагерц. К числу положительных свойств ПЛИС следует отнести и возможность перепрограммирования системы управления (СУ) без изменения ее структуры. Таким образом возникают предпосылки создания универсальной СУ, способной работать при различных законах ШИР- и ШИМрегулирования [3–5].
Предлагаемая стратегия управления МПЧ Стратегия управления МПЧ с помощью ПЛИС исходит из наличия единого для всех вариантов СУ логического уравнения. Для получения такого уравнения представим МПЧ в виде коммутирующей матрицы размером 33, выполненной на идеальных транзисторных ключах s1, s2, …, s9 с двухсторонней проводимостью тока (рис. 1а). Воспользуемся возможностью представления схемы замещения МПЧ в виде последовательного соединения активного выпрямителя (АВ) и автономного инвертора напряжения (АИ), связанных звеном постоянного тока (ЗПТ).
В статье рассмотрены алгоритмы управления структурными компонентами матричного преобразователя частоты в виде последовательного соединения активного выпрямителя и автономного инвертора на основе ШИМ-регулирования среднетактовых значений пульсирующего напряжения питания. Дается пример программно-аппаратной реализации данных алгоритмов с помощью однокристальной системы управления на базе ПЛИС фирмы Altera.
Для каждой из двух частей схемы замещения справедливы уравнения связи входных и выходных напряжений АВ (1) и АИ (2):
(1)
В отличие от (1), (2), коэффициенты уравнения (3) определяют состояния девяти реально существующих транзисторов в схеме МПЧ. Из уравнений связи следует, что формирование отпирающих импульсов s1, s2, …, s9 для этих ключей должно происходить в соответствии с матричным уравнением:
(2)
Подстановкой (1) в (2) получаем искомое уравнение (3) связи напряжений для МПЧ в целом:
(3) Коэффициентами уравнений (1) и (2) служат логические переменные, выполняющие роль отпирающих импульсов sA, sB, …, s–C, для виртуальных ключей в схеме АВ и таких же импульсов sa, sb, …, s–c в схеме АИ.
а
(4) где s11 = sA; s23 = sA; s35 = sA; s41 = sB; s53 = sB; s65 = sB; s71 = sC; s83 = sC; s95 = sC;
s12 = s–A; s24 = s–A; s36 = s–A; s42 = s–B; s54 = s–B; s66 = s–B; s72 = s–C; s84 = s–C; s96 = s–C;
s13=s14=s15=s16 = 0; s21=s22=s25=s26 = 0; s31=s32=s33=s34 = 0; s43=s44=s45=s46 = 0; s51=s52=s55=s56 = 0; s61=s62=s63=s64 = 0; s73=s74=s75=s76 = 0; s81=s82=s85=s86 = 0; s91=s92=s93=s94 = 0.
б
Рис. 1. Символическое изображение коммутирующей матрицы в составе МПЧ (а) и ее схемы замещения (б)
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ПЛИС
Согласно последнему выражению, каждый ключ в исходной схеме замещается моделью, реализующей логическую функцию «2ИЛИ — 2И». К примеру, для ключа s1 эта функция имеет вид s1 = sAsa+s–As–a (рис. 1а). В результате управление МПЧ сводится к переключению вентильных пар в составе виртуально существующих схем АВ и АИ. В частном случае синхронного переключения указанных пар двухзвенная схема приобретает свойства повторителя напряжения, и необходимость в ней отпадает. В остальных же случаях декомпозиция схемы оказывается весьма эффективным средством синтеза МПЧ с заранее заданными свойствами. Как правило, целью синтеза служит обеспечение электромагнитной совместимости преобразователя с питающей сетью и нагрузкой. Алгоритм (4) предоставляет возможность решения обеих задач путем одновременного воздействия на величину и форму кривых сетевого тока и выходного напряжения МПЧ.
компоненты
75
а
Управление ключами АВ Выработка импульсов для АВ происходит на основе первичной информации в виде 3‑фазной системы широтно-модулированных сигналов fА, fВ, fС, а также синхронизирующих импульсов sAB1, sCB2, ѕ, sCA2, делящих период сетевого напряжения на равные промежутки времени длительностью π/6, согласно уравнению:
(5) б
где a11–a66, b11–b66, s01–s06 — дизъюнктивные комбинации синхронизирующих импульсов; k = 0,1 — параметр режима управления. С целью формализации алгоритма уравнение (5) представлено в универсальном виде, пригодном для реализации любого из рассматриваемых способов. Так, для превращения АВ в параметрический источник тока заданной формы в этом уравнении необходимо принять:
— — — – fA = f B = fC = f; f A = f B = f C = f ; k = 0; a11 = sCB2+sBC1; a12 = sAB1+sAC2; s01 = sAC1+sAB2; a13=a14=a15=a16 = 0; a21 = sBC2+sCB1; a22 = sBA1+sCA2; s02 = sCA1+sBA2; a23=a24=a25=a26 = 0; a33 = sAC2+sCA1; a34 = sBC1+sBA2; s03 = sBA1+sBC2; a31=a32=a35=a36 = 0; a43 = sAC1+sCA2; a44 = sCB1+sAB2; s04 = sAB1+sCB2; a41=a42=a45=a46 = 0; a55 = sAB1+sBA2; a56 = sCB2+sCA1; s05 = sCB1+sCA2; a51=a52=a53=a54 = 0; a65 = sBA1+sAB2; a66 = sBC2+sAC1; s06 = sBC1+sAC2; a61=a62=a63=a64 = 0.
(6)
Работа АВ в этом случае происходит в режиме высокочастотного широтно-импульсного регулирования (ШИР) выпрямленного напряжения ed(t). Как видно из диаграмм компьютерного моделирования на рис. 2а, переключения силовых транзисторов задаются точками встречи управляющего сигнала x(t) постоянного уровня и опорного сигнала xoп(t) высокой несущей частоты. При этом формирование ed(t) происходит чередующимся подключением выходных зажимов к линейным напряжениям сети двух уровней: верхнего уровня относительной величины 0,86–1 и нижнего уровня 0,5–0,86. Улучшение формы токов достигается модуляционным способом
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 2. Временные диаграммы АВ в режиме: а) параметрического источника тока заданной формы; б) модуляционного формирования сетевых токов
www.kite.ru
компоненты
76
ПЛИС
а
б
Рис. 3. а) Схемный файл проекта в программной среде Quartus II; б) логический модуль в канале управления первым транзисторным ключом в схеме МПЧ
без применения пассивных фильтров. Этому способствует особая форма опорного сигнала, получаемого циклическим интегрированием междуфазного коммутирующего напряжения сети [4, 5]. Реализация ШИР в этих условиях приводит к выравниванию среднетактовых значений импульсного напряжения, в результате в составе выпрямленного тока id(t) даже при малой индуктивности нагрузки, соизмеримой с эквивалентной индуктивностью сетевого входа, исчезают низкочастотные (300 Гц) сетевые пульсации. Одновременно с этим происходит улучшение формы фазных токов i A(t), i B(t), i C(t) на сетевом входе АВ. На рис. 2а видно, что желаемую квазисинусоидальность этих токов удается достичь за счет увеличения продолжительности активного состояния каждого ключа на периоде сети. При максимальной глубине модуляции интервал проводящего состояния ключа стремится к λ = π вместо λ = 2π/3 при обычном способе импульсно-фазового управления выпрямителем. Результатом явилось устранение в составе фазных токов традиционных для мостовых выпрямителей нулевых пауз. Подтверждение правомерности данного способа улучшения сетевых токов можно найти из анализа кривых напряжений, под воздействием которых происходит формирование этих токов:
еA1(t) = eAB(t)(s1s4+s2s3)+eAC(t)(s1s6+s2s5); eB1(t) = eBA(t)(s3s2+s4s1)+eBC(t)(s3s6+s4s5); eC1(t) = eCA(t)(s5s2+s6s1)+eCB(t)(s5s4+s6s3).
(7)
Из построенных на основе (7) диаграмм (рис. 2а) видно, что указанные напряжения подвергаются двум видам модуляции: широтной со стороны управляющего входа и амплитудной со стороны сети. Симметричный характер последней приводит к тому, что основные гармоники указанных напряжений еA1(t), eB1(t), eC1(t) совпадают по фазе с напряжениями сетевых фаз еA(t), eB(t), eC(t). Полученные решением дифференциальных уравнений кривые токов iA(t), iB(t), iC(t) наглядно отражают реакцию активно-индук-
тивной цепи на получаемую в (7) форму напряжений. Благодаря отмеченному свойству степень взаимного приближения кривых тока и напряжений в сетевых фазах оказывается достаточно высокой. Подтверждением может служить приведенный на рис. 5а годограф обобщенного вектора сетевого тока, форма которого по мере повышения частоты переключений приближается к окружности. Недостатком алгоритма следует назвать конечный диапазон регулирования выходного напряжения (порядка 27%), в связи с чем область его применения ограничивается системами стабилизации тока. В о тл и ч и е о т с п о с о б а , п о к а з а н н о го на рис. 2а, алгоритм, представленный на рис. 2б, не ведет к подобным ограничениям. Подвергая напряжения (7) процессу синусоидальной ШИМ, способ позволяет добиться не только желаемой формы, но и величины потребляемого тока. Возможность автономного, в определенных пределах не зависящего от нагрузки, регулирования сетевого тока появляется за счет изменения в его составе реактивной составляющей. Анализ показывает, что в АВ этого удается добиться изменением временных интервалов, в течение которых подключение нагрузки к сети чередуется с ее шунтированием двумя противофазными ключами мостовой схемы. Учитывалось также, что попарная работа транзисторных ключей позволяет осуществлять модуляционные переключения лишь одного транзистора, в то время как другой на всем интервале повторяемости π/3 может оставаться во включенном состоянии. Попутно снижаются коммутационные потери мощности в преобразователе. Реализация данных решений потребовала так называемой предмодуляции 3‑фазного управляющего сигнала xА, xВ, xС, на входах СУ. Исходя из требований электромагнитной совместимости, изменения данного сигнала должны происходить с частотой 50 Гц синфазно с напряжениями сетевых фаз. Как видно из диаграмм на рис. 2б, необходимую форму сигналов в процессе предмодуляции можно получить чередованием интервалов
постоянства (π/3) и интервалов изменения (2π/3) этих сигналов по гармоническому закону. В свою очередь в универсальном уравнении (5) переход к новому алгоритму потребовал установки параметра режима k = 1, устранения тождеств (4) и приведения матриц [aij], [bij] к диагональному виду.
Применение ПЛИС для управления структурными звеньями МПЧ Разработка управляющей системы на базе ПЛИС предполагает: • определение параллельно выполняемых логических и DSP-функций, каждая из которых представлена на общей схеме рис. 3а в виде отдельного блока; • описание работы устройства с помощью языка VHDL; • компиляцию и отладку проекта в среде Quartus II с последующей записью программы в так называемое конфигурационное ПЗУ. Рассматриваемый вариант исполнения СУ предусматривает, что АВ выполняет функции источника тока заданной формы, а АИ служит для регулирования напряжения и частоты на выходе МПЧ. Полагается, что регулирование выпрямленного напряжения ведется широтно-импульсным способом (ШИР), причем моменты переключений, как уже отмечалось, задаются точками встречи двоичных кодов опорного хоп и задающего x сигналов в блоке 2 (PWM_AR). Формирование опорного сигнала происходит на несущей частоте в блоке 1 (saw_AR) путем периодического накапливания и смены знака операнда ed_AR, в результате чего хоп приобретает пилообразную форму. Полученные последовательности широтно-модулированных f и фазированных сетью синхронизирующих sAB1, sСВ2… импульсов используются в блоке 2 для выработки управляющих сигналов для ключей АВ, в соответствии с уравнениями (5) и (6). В отличие от АВ задающим сигналом на управляющем входе АИ (block3) становится вектор:
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ПЛИС
(8) при этом целью управления служит получение обобщенного вектора 3‑фазного напряжения на выходе преобразователя, изменяющегося по закону задающего сигнала. Особенность МПЧ как непосредственного преобразователя частоты состоит в питании «внутреннего» инвертора АИ пульсирующим выпрямленным напряжением. Точное отыскание моментов переключения в этих условиях методом векторной ШИМ требует большого числа логических ячеек, превышающего ресурс одной микросхемы. К меньшим затратам ведет скалярный способ на основе сравнения опорного и задающих сигналов. Причем последние (xa = sin(a), xb = sin(b), xc = sin(c)) формируются на выходе блока 3 (рис. 3а) в виде проекций задающего вектора (8). Практическое воплощение данного способа модуляции оказалось возможным благодаря способности современных ПЛИС выполнять DSP-функции. На этой же основе происходит функционирование блока 5 (PWM_AR), осуществляющего выработку широтно-модулированных импульсов для управления ключами АИ:
sa = fa; sb = f b; sc = fc; — — — s–a = f a ; s–b = f b ; s–c = f c .
(9)
Дальнейшее поступление сигналов (5) и (9) на входы логического блока 6 (matrix block) приводит к формированию, согласно (4), отпирающих импульсов для всех девяти транзисторов МПЧ s1 = u[1]; s2 = u[2], ѕ, s 9 = u[9] и далее, в соответствии с формулой (3), к появлению в цепях нагрузки 3‑фазного напряжения ea, eb, ec. Диаграммы на рис. 3б иллюстрируют протекание пускового режима в МПЧ, завершающегося получением на выходе напряжения максимальной амплитуды частоты 150 Гц. Общее представление о влиянии переключений на выходное напряжение может дать картина происходящих в МПЧ векторных переходов (рис. 5б). Необходимое выражение пространственного вектора выходного напряжения с учетом пульсаций питающего напряжения записывается:
компоненты
77
щего напряжения, замеряемая относительно вершины сетевого напряжения в фазе А; Uфм — амплитуда фазного напряжения сети; ω1 — круговая частота сети. Построенная с помощью (10) векторная диаграмма на рис. 5б позволяет представить траекторию, которую описывает конец результирующего вектора внутри того или иного сектора диаграммы. Сложный характер этой траектории обусловлен совокупным влиянием переключений в схемах АВ и АИ. Причем если первые изменяют уровень напряжения питания и потому приводят к радиальным перемещениям рабочей точки вдоль направления базовых векторов, то вторые сопровождаются ее угловыми перемещениями от одного базового вектора к другому. Аналогичная картина векторных переходов наблюдается, как известно, и при проведении пространственно-векторной ШИМ [1].
Выводы (10) где ϑ = ω1t–nπ/3 — текущее время внутри n‑го периода пульсаций (n = 0, 1, …) длительностью π/3; k = 0, 1, …, 5 — порядковый номер сектора векторной диаграммы; j — начальная фаза текущей полуволны питаю-
Опыт разработки подтверждает техническую осуществимость и экономическую целесообразность однокристального исполнения управляющей системы МПЧ на основе ПЛИС последних поколений. Результаты показывают, что в наиболее простом исполнении без учета требований нагрузки данная система может быть выполнена на основе
а
б
в
Рис. 4. Диаграммы напряжений и токов на выходе двухзвенной модели МПЧ
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 5. Векторные диаграммы сетевого тока (а) и выходного напряжения (б) с указанием условий включения базовых векторов (в)
www.kite.ru
78
компоненты
1500–2000 логических ячеек, реализующих операцию «И‑ИЛИ с памятью», в то время как ресурс одной из популярных микросхем семейства Cyclone III (ЕР3 СЕ144) составляет 5000 подобных ячеек при цене $15–17. Функциональная совместимость указанных ячеек с алгоритмом (4) позволяет рассматривать данную микросхему в качестве специализированного компонента МПЧ в режиме скалярного управления. n
новости
ПЛИС
Литература 1. Виноградов А. Б. Новые алгоритмы пространственно-векторного управления матричным преобразователем частоты // Электричество. 2008. № 3. 2. Сидоров С. Н., Поляков А. Е. Однокристальная система управления матричным преобразователем частоты на основе ПЛИС Cyclone III // Компоненты и технологии. 2010. № 11.
3. Сидоров С. Н. Матричный преобразователь частоты в режимах скалярного управления // Электричество. 2010. № 7. 4. Патент РФ № 2251199. Матричный преобразователь частоты и способ управления им // С. Н. Сидоров. Бюллетень изобретений. 2005. № 12. 5. Патент РФ № 2414800. Способ скалярного управления (33)-фазным матричным преобразователем частоты // С. Н. Сидоров, А. Е. Поляков. Бюллетень изобретений. 2011. № 8.
микроконтроллеры
Новые семейства 8‑битных микроконтроллеров от Microchip с независимой от ядра интеллектуальной аналоговой периферией
Реклама
Реклама
Компания Microchip представила новые семейства 8‑битных микроконтроллеров PIC16(L)F170X и PIC16(L)F171X. Эти микроконтроллеры сочетают в себе богатый набор интеллектуальной, независимой от ядра аналоговой периферии, невысокую цену и технологию сверхнизкого потребления eXtreme Low Power (XLP). Микросхемы выполнены в корпусах с 14, 20, 28 и 40/44 выводами. Одиннадцатое семейство в линейке PIC16 микроконтроллеров включает два операционных усилителя для управления параметрами петли обратной связи, датчика и другими факторами формирования сигнала, что позволяет уменьшить себестоимость изделия за счет сокращения внешних компонентов. Представленные новинки также имеют встроенный Zero Cross Detect (ZCD) модуль, который упрощает процесс управления симистором и сокращает помехи, вызванные переходными процессами при коммутации. PIC16F170X/171X — первые PIC16 микроконтроллеры с поддержкой технологии Peripheral Pin Select, благодаря которой разработчики могут ставить в соответствие вывод микроконтроллера и выход или вход его встроенных периферийных модулей.
Самодостаточная периферия PIC16F170X/171X, представленная генератором комплементарных импульсов (Complementary Output Generator) и конфигурируемой логической ячейкой (Configurable Logic Cell), способна выполнять свои задачи, не занимая ресурсы ядра, что выводит на новый уровень производительность 8‑разрядных PIC-микроконтроллеров и возможности разработчиков. На базе конфигурируемой логической ячейки можно реализовать специфичную логическую схему, оптимизированную под конкретную задачу, а генератор комплементарных импульсов позволяет получать неперекрывающиеся комплементарные сигналы с возможностью контроля ключевых параметров: фазы, «мертвого» времени, гашения, автовыключения и автосброса. Когда в приложении требуется, например, управление FET-транзистором в составе мостового или полумостового драйвера, можно выгодно сэкономить на себестоимости изделия и освободить место на плате за счет сокращения внешней обвязки, используя генератор комплементарных импульсов. NCO — программируемый высокоточный линейный генератор частоты, работающий в диапазоне от менее чем 1 Гц до более чем 500 кГц. NCO — это новый уровень производительности в приложениях, для которых требуется управление частотой, например в устройствах управления освещением и схемах настройки радиочастоты. PIC16F170X/171X имеют до 28 кбайт Flash-памяти, до 2 кбайт ОЗУ, АЦП с разрядностью 10 бит, 5/8‑бит ЦАП, модули захвата и сравнения, автономные ШИМ-модули разрядностью 10 бит и высокоскоростные компараторы (среднее время ответа — 60 нс). Помимо этого, они поддерживают интерфейсы EUSART, I2C и SPI. А благодаря технологии XLP представленные контроллеры потребляют в активном режиме 35 мкА/МГц и 30 нА в спящем. Для работы с новым семейством микроконтроллеров PIC16F170X Microchip предлагает ряд средств разработки мирового класса, включая PICkit 3 (PG164130), MPLAB ICD 3 (DV164035), PICkit 3 Low Pin Count Demo Board (DM164130-9), PICDEM Lab Development Kit (DM163045). MPLAB Code Configurator — бесплатная программа, генерирующая бесшовный и простой для понимания программный код на C, уже добавленный в проект. В настоящее время она поддерживает PIC16F1704/08, а с апреля будет осуществляться поддержка и PIC16F1713/16. www.microchip.com
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
80
компоненты
ПЛИС
Построение систем с процессором Microblaze на отладочной плате Nexys‑4 в САПР Vivado
Евгений Гурин, д. т. н., профессор gurin2@yandex.ru
В статье рассматриваются вопросы создания систем с процессором Microblaze в САПР Vivado с применением нового инструмента IP Integrator. Процесс проектирования для ПЛИС Artix‑7 показан на простейшем проекте, включающем ввод данных с переключателей и обмен по последовательному интерфейсу. Программное обеспечение реализуется в SDK. Приведены результаты проверки работоспособности созданной системы на отладочной плате Nexys‑4.
Введение В 2012 году фирма Xilinx выпустила САПР нового поколения Vivado [1–3], которая предназначена для замены в ближайшем будущем ISE Design Suite. Традиционно разработка систем с процессорными ядрами Microblaze в ISE Design Suite и в Vivado производится с использованием EDK. Начиная с версии 2013.3 в САПР Vivado добавлен IP Integrator [3–6], который также позволяет создавать системы с процессорными ядрами и описан в настоящей статье. В документации в качестве примера систем с процессорными ядрами Microblaze обычно рассматриваются системы на отладочных платах KC705 с ПЛИС Kintex 7 [3, 5, 6]. В то же время на практике неплохо себя зарекомендовали относительно недорогие и достаточно удобные в работе отладочные платы Nexys‑4 фирмы Digilent [7]. Особенно удобно их применение в учебном процессе в вузах, где, как правило, проводятся несложные проекты и для подключения этих плат достаточно одного USB-кабеля. Настоящая статья может быть полезна преподавателям вузов, а также инженерам, занимающимся разработкой недорогих проектов на ПЛИС Artix 7. Статья ориентирована на специалистов, имеющих опыт создания простейших проектов в САПР Vivado.
Рис. 1. Создание процессорного блока
Для создания процессорного блока в окне Flow Navigator активизируется строка Create Block Design (рис. 1), в открывшемся окне задается имя блока (например, mb1) и нажимается кнопка OK. В открывшемся справа окне Diagram нажимается Add IP. В окне Search вводятся на-
Рис. 2. Выбор процессора
чальные буквы нужного устройства (рис. 2) и двойным щелчком мыши выбирается строка MicroBlaze, в результате появляется изображение процессора. Если на этом изображении нажать двойным щелчком мыши,
Создание проекта и разработка аппаратной части Сначала создается проект типа RTL в системе Vivado для ПЛИС XC7A100T 1CSG324C, используемый язык — VHDL. Последнее свойство можно задать при создании проекта либо вызвав Project Setting в окне Flow Navigator.
Рис. 3. Исходный вариант процессорного блока
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ПЛИС
компоненты
81
Рис. 4. Настойка устройства синхронизации
Рис. 6. Настройка устройства ввода-вывода
откроется первое из окон конфигурации процессора Re-customize IP (в исходной конфигурации четыре окна). При необходимости в конфигурацию процессора можно внести изменения, но в данном случае этого не нужно, а потому после ознакомления с содержимым окна следует нажать кнопку Cancel. Для автоматического создания процессорного блока надо нажать Run Block Automation в окне Diagram, а затем выбрать единственный пункт microblaze_0 в открывшемся меню. В результате появится окно, в котором следует увеличить локальную память Local Memory до 16 кбайт, остальные параметры остаются без изменений. Для продолжения нужно нажать клавишу OK, после чего в течение 15– 20 с действует система проектирования, результат ее работы приведен на рис. 3. Устройство clk_wiz_1 по умолчанию генерируется с парафазным входом синхронизации CLK_IN1_D, в то же время на отладочной плате Nexys‑4 вход синхронизации однофазный. Для изменения свойств clk_ wiz_1 надо нажать на нем двойным щелчком мыши, в результате откроется окно Recustomize IP. На закладке Clocking Options, которая активна после открытия окна, в поле Input Clock Information в верхней строке надо вместо Differential clock capable pin установить Single ended clock capable pin. Фрагмент этого окна с измененным параметром показан на рис. 4. Не закрывая окна Re-customize IP, следует открыть закладку Output Clocks, в нижней части которой имеется поле Enable Optional Inputs/Outputs. В этом поле задаются дополнительные контакты clk_wiz_1. Здесь нужно убрать вход reset, после чего для закрытия окна нажимается OK. Стоит отметить, что вход сброса удалять необязательно,
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 8. Окончательный вариант процессорного блока
Рис. 5. Добавление устройства ввода-вывода
альтернативный вариант со входом сброса рассмотрен в [3]. В созданный процессорный блок надо добавить периферийные устройства, пусть в данном проекте это будут восьмиразрядный вход с переключателей и последовательный интерфейс. Для добавления входа с переключателей на свободном месте окна Diagram необходимо щелкнуть правой клавишей мыши и в открывшемся меню выбрать пункт Add IP, затем в поле Search ввести gpio (рис. 5) и нажать двойным щелчком мыши на строке AXI_GPIO. На появившемся устройстве AXI_GPIO надо двойным щелчком мыши открыть окно свойств и изменить его содержимое, в частности, указать, что данное устройство является входным (All Inputs), и в поле GPIO Width задать разрядность 8 (рис. 6). Аналогично добавляется последовательный интерфейс AXI Uartlite (рис. 7). На появившемся устройстве надо двойным щелчком мыши открыть окно свойств и задать скорость (Baud Rate) 115 200. Периферийные устройства добавляются в проект неподключенными. Для проведения связей надо щелкнуть мышью на строке Run Connection Automation в верхней части окна Diagram, в результате появляется перечень неподключенных контактов. Для проведения каждой связи надо ее выбрать и нажать OK
Рис. 7. Добавление последовательного интерфейса
в открывшемся окне. При проведении сигнала сброса активный уровень (ACTIVE_LOW) изменять не нужно. При следующем нажатии на строке Run Connection Automation проведенные ранее связи из меню исключаются. После проведения последней связи строка Run Connection Automation исчезает. Изображение блока и расположение составляющих его устройств формируются автоматически системой проектирования. При необходимости устройства можно передвинуть вручную, один из возможных вариантов изображения процессорного блока после такого передвижения приведен на рис. 8. Созданный блок необходимо сохранить, например нажатием Ctrl-s. Затем создается главный файл проекта. Для этого в окне Sources правой клавишей мыши выделяется создан-
Рис. 9. Создание главного модуля проекта
www.kite.ru
82
компоненты
ПЛИС
Рис. 10. Добавление файла ограничений
ный блок и в открывшемся контекстном меню выбирается пункт Create HDL Wrapper (рис. 9). В следующем окне надо нажать OK. В результате создается главный модуль, имеющий следующее описание внешних сигналов: entity mb1_wrapper is port ( clock_rtl : in STD_LOGIC; gpio_rtl_tri_i : in STD_LOGIC_VECTOR ( 7 downto 0 ); reset_rtl : in STD_LOGIC; uart_rtl_rxd : in STD_LOGIC; uart_rtl_txd : out STD_LOGIC );
Далее необходимо задать ограничения. Для платы Nexys‑4 на сайте производителя (www. digilentinc.com) доступен файл ограничений Nexys4_Master.xdc, который можно взять за основу. В окне Flow Navigator активизируется строка Add Sources, в появившемся окне выбирается Add or Create Constraints и нажимается кнопка Next. В следующем окне нажимается Add Files. В открывшемся окне указывается путь к файлу Nexys4_Master.xdc и нажимается Enter (или OK). В окне Add Sources, показанном на рис. 10, необходимо наличие флажка в поле Copy constraints files into project для того, чтобы в проекте была создана копия файла ограничений. После нажатия на кнопку Finish файл ограничений создается в проекте, его необходимо открыть и изменить в нем имена в соответствии с именами в заголовке модуля mb1_ wrapper, приведенном выше. Сначала необходимо убрать комментарии в строках 8–10 файла Nexys4_Master.xdc и заменить в них clk на clock_rtl, запись sys_clk_pin в строке 10 остается неизменной. В окончательном варианте эти строки будут иметь следующий вид:
Рис. 12. Главное окно SDK
умещаются на одной строке в настоящем тексте. Так, например, в приведенном выше фрагменте строка 10 файла ограничений расположена в двух последних строках. Кроме того, большинство строк начинается с ключевой фразы set_property. Исключение в данном примере составляет только строка 10, которая начинается фразой create_clock. Затем в файле ограничений Nexys4_Master. xdc необходимо убрать одиночные комментарии в строках 13–36 (двойные комментарии остаются). В этих строках имена sw заменяются на gpio_rtl_tri_i. Для переключателя sw0 строки 14 и 15 в файле ограничений будут иметь следующий вид: set_property PACKAGE_PIN U9 [get_ports {gpio_rtl_tri_i[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {gpio_rtl_tri_i[0]}]
Аналогично будут выглядеть записи для sw7…sw1, отличаться будут только индексы. Пусть сигнал сброса поступает с кнопки CPU RESET. Для этого в строках 191–192 файла Nexys4_Master.xdc необходимо убрать комментарии и заменить btnCpuReset на reset_rtl. Окончательный вид этих строк будет следующим: set_property PACKAGE_PIN C12 [get_ports reset_rtl] set_property IOSTANDARD LVCMOS33 [get_ports reset_rtl]
Для последовательного интерфейса надо убрать комментарии в строках 487–488 и 490– 491 в файле Nexys4_Master.xdc и заменить имена RsRx и RsTx на uart_rtl_rxd и uart_rtl_txd соответственно. В окончательном варианте строки будут иметь следующий вид:
set_property PACKAGE_PIN E3 [get_ports clock_rtl] set_property IOSTANDARD LVCMOS33 [get_ports clock_rtl]
set_property PACKAGE_PIN C4 [get_ports uart_rtl_rxd]
create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clock_rtl]
set_property IOSTANDARD LVCMOS33 [get_ports uart_rtl_rxd] ## . . . set_property PACKAGE_PIN D4 [get_ports uart_rtl_txd]
Следует учесть, что строки в файле ограничений достаточно длинные и не всегда
set_property IOSTANDARD LVCMOS33 [get_ports uart_rtl_txd]
Рис. 11. Запуск SDK
После изменений файл ограничений надо сохранить. Далее выполняется синтез проекта, для чего в окне Flow Navigator активизируется строка Run Synthesis. Затем выполняется реализация проекта (Run Implementation) и генерация битового (загрузочного) файла (Generate Bitstream).
Разработка программного обеспечения После создания аппаратной части можно приступать к созданию программы. Для этого из основного меню выполняется команда File → Export → Export Hardware for SDK. В открывшемся окне необходимо установить флаг Launch SDK (рис. 11) и нажать OK. В результате открывается основное окно SDK. Для создания проекта из основного меню выполняется команда File → New → Application Project. В открывшемся окне задается имя проекта (например, vmb01p), остальные параметры не изменяются. Для перехода к следующему окну нажимается клавиша Next. В окне Templates выбирается Hello World и нажимается клавиша Finish. Фрагмент основного окна созданного проекта показан на рис. 12. В нем в качестве стандартного устройства ввода-вывода автоматически назначается последовательный интерфейс axi_uartlite_0.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ПЛИС
После этого проект можно записывать в плату. Для этого из основного меню SDK выполняется команда Xilinx Tools → Program FPGA. В результате открывается окно, показанное на рис. 13. В этом окне надо указать путь к загрузочному файлу с расширением .bit и файлу с расширением .bmm, а также указать, что загружается программа vmb01p.elf. Перед загрузкой плату необходимо подключить к компьютеру кабелем micro-USB и включить питание. Перед запуском программы на решение необходимо активизировать терминал, а для этого в окне Terminal — нажать кнопку Connect (рис. 14). Терминал должен быть настроен на работу со скоростью 115 200, возможный вариант настройки показан на рис. 15.
Рис. 14. Включение терминала
С помощью функции Xil_In32 данные считываются с переключателей на отладочной плате и присваиваются переменной sw. Константа XPAR_GPIO_0_BASEADDR определена в файле xparameters.h. Определение функции Xil_In32 находится в файле xil_io.h, ссылка на который имеется в файле xgpio_l. h.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
83
Рис. 13. Программирование ПЛИС
Рис. 16. Результат работы программы Hello World
Для запуска программы на решение в окне Project надо правой клавишей мыши выделить проект vmb01p и из открывшегося меню выполнить команду Run As → Launch on Hardware. В результате работы программы командой print в стандартное устройство вывода будет отправлено сообщение Hello World, которое передается в компьютер и будет отображено в окне терминала. На рис. 16 приведен пример работы программы Hello World при использовании терминала SDK. Отображение результатов работы возможно также программой Tera Term [8]. Изменим программу, пусть она будет иметь следующий вид: #include “platform.h” #include <stdio.h> #include “xgpio_l.h” #include “xparameters.h” int main() { int i, dd=0, sw=0; init_platform(); xil_printf(“ \n\r vmb01 begin \n\r”); while(1) { sw=Xil_In32(XPAR_GPIO_0_BASEADDR); //read data from swithes if(sw != dd) xil_printf(“\n\r sw=%d”, sw); //new data for(i=0; i<500000; i++) // delay dd=sw; // delay data } return 0; }
компоненты
Рис. 17. Новый вариант программы
Рис. 15. Окно настройки терминала
Рис. 18. Результат работы системы
Открыть файл xgpio_l.h можно двойным щелчком мыши на его имени в правой части основного окна SDK (рис. 17). После открытия файла xgpio_l.h из него таким же образом открывается файл xil_io. h. Следует отметить, что для ввода данных могут использоваться и другие функции. В следующей строке программы происходит сравнение нового значения sw и старого (задержанного) значения dd. Если они не равны (то есть состояние переключателей изменилось), то sw передается по последовательному интерфейсу функцией xil_printf. В следующих двух строках с помощью оператора for реализована задержка для исключения дребезга на переключателях. Перед запуском программы переключатели sw7…sw0 на отладочной плате Nexys‑4
должны быть установлены в нижнее положение. Если активен терминал SDK, то после запуска программы в его окне будет выдана запись vmb01 begin. Если переключатель sw0 на отладочной плате Nexys‑4 установить в верхнее положение, в окне терминала SDK будет выдана запись sw=1 (рис. 18). Далее после каждого изменения положения переключателей sw7… sw0 в окне терминала будет выводиться их новое состояние в десятичной системе счисления. В статье рссматривалась отладочная плата Nexys‑4. Однако представленная выше последовательность действий может использоваться и во многих других похожих случаях при создании систем на основе процессора Microblaze. n www.kite.ru
компоненты
84
Литература
Реклама
1. Тарасов И. Е. Маршрут проектирования ПЛИС Xilinx в САПР Vivado // Компоненты и технологии. 2012. № 12. 2. Зотов В. Ю. Конвертирование проектов цифровых устройств, разрабатываемых на основе ПЛИС и полностью программируемых систем на кристалле фирмы Xilinx в среде ISE Design Suite, в формат САПР Vivado Design Suite // Компоненты и технологии. 2013. № 8–10. 3. Vivado Design Suite. User Guide. Embedded Processor Hardware Design // Xilinx, UG898 (v2013.3), October 2, 2013. 4. Тарасов И. Е. Использование IP Integrator в САПР Vivado для ПЛИС серии 7 и UltraScale // Компоненты и технологии. 2013. № 12. 5. Vivado Design Suite. User Guide. Designing IP Subsystems Using IP Integrator. Xilinx, UG994, October 2, 2013. 6. Vivado Design Suite Tutorial: Embedded Processor Hardware Design. UG940, October 2, 2013. 7. www.digilentinc.com 8. Тарасов И. Е. Проектирование в САПР EDK на базе All Programmable SoC семейства Zinq 7000 // Компоненты и технологии. 2012. № 12.
новости
память
Жесткие диски с самошифрованием Toshiba соответствуют федеральному стандарту обработки информации США Компания Toshiba Electronics Europe объявила о том, что жесткий диск (HDD) мобильного класса толщиной 7 мм с технологией самошифрования прошел проверку на соответствие федеральному стандарту обработки информации США 140-2 (FIPS 140-2). Устройства серии MQ01ABUxxxBW — это первые диски с самошифрованием (SED) Toshiba, прошедшие проверку на соответствие этому стандарту. В них используется уникальная технология стирания Toshiba, позволяющая автоматически удалять данные при доступе к жесткому диску со стороны незарегистрированной системы. Теперь благодаря подтверждению соответствия стандарту FIPS диски серии MQ01ABUxxxBW можно использовать в государственных системах и других жестко регламентированных областях применения, требующих особых мер обеспечения безопасности хранения данных. Модели дисков SED Toshiba соответствуют подклассификации систем безопасности промышленного класса Opal, разработанной Trusted Computing Group (TCG). Этот признанный во всем мире стандарт включает протоколы, которые помогают ИТ-менеджерам, поставщикам приложений для управления безопасностью и специ-
алистам по обеспечению безопасности клиентов управлять безопасностью данных и предотвращать потенциально опасные утечки ценной конфиденциальной информации. Кроме того, соответствующие стандарту FIPS модели снабжаются маркировкой контроля вскрытия для дополнительной защиты. В отличие от продуктов с программным шифрованием диски SED позволяют безопасно выполнять шифрование с помощью аппаратного обеспечения диска при полных интерфейсных скоростях. Это помогает улучшить характеристики системы по сравнению с программным шифрованием, при использовании которого шифрование выполняется как фоновая программная задача, что может негативно отражаться на производительности пользователя. Кроме того, при использовании дисков SED нет необходимости в цикле повторного шифрования в процессе начальной настройки клиента. Функцию шифрования дисков SED нельзя отключить. Это снижает риск того, что правила безопасности, основанные на политике нормативно-правового соответствия, будут нарушаться действиями конечных пользователей. Технология стирания дисков SED позволяет легко и безопасно
выполнять криптографическое удаление данных для защиты от утечки при выводе из эксплуатации или повторном развертывании систем, а также в случае их возврата стороннему поставщику услуг. www.toshiba-components.com
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
компоненты
86
ПЛИС
Изучение основ цифровой обработки сигналов с помощью учебного лабораторного стенда LESO2.1
Андрей Строгонов, д. т. н. andreistrogonov@mail.ru Алексей Быстрицкий, к. т. н. bystritsky@bk.ru
В статье [1] рассмотрено проектирование умножителя целых положительных чисел, представленных в прямом коде размерностью 4×4, методом правого сдвига и сложения (MAC-блок), а в работе [2] проектирование умножителя целых чисел со знаком, представленных в дополнительном коде. В обоих случаях использовались приемы умножения, изложенные в [3], управляющие автоматы являлись оригинальными и были разработаны с помощью языка VHDL. На базе этого умножителя спроектирован КИХ-фильтр на четыре отвода [4].
Р
ассмотрим проектирование цифрового автомата более простым способом — методом умножения в столбик. Управляющий автомат умножителя разработаем посредством редактора состояний САПР Quartus II (State Machine Viewer). Далее реализуем умножитель размерностью 44 в базисе ПЛИС типа ППВМ серии Cyclone EP1C3T144C8N фирмы Altera с помощью учебного лабораторного стенда LESO2.1 (Лаборатории электронных средств обучения, ЛЭСО
Рис. 1. Верхний уровень иерархии умножителя размерностью 4×4
ГОУ ВПО «СибГУТИ») отечественной разработки [5]. Учебный лабораторный стенд предназначен для обучения основам проектирования цифровой техники на основе ПЛИС. Поскольку Quartus II Web Edition version 13.0.1 сборка 232 не поддерживает ПЛИС серии Cyclone, необходимо перейти на более раннюю версию Quartus II Web Edition version 9.1. За основу схемы умножителя P=B (множимое)A (множитель) возьмем пример из [6]. На рис. 1 и 2 показаны верхний и нижний уровни иерархии проекта умножителя размерностью 44. Сигнал А (множитель) следует рассматривать как число, а сигнал B как константу (множимое). Умножитель настроен на умножение двух чисел 1010. Умножитель состоит из двух однотипных регистров ShiftN, сдвигающих влево или вправо в зависимости от сигнала DIR, задающего направление сдвига (пример 1), детектора нуля AllZero, управляющего автомата avt на пять состояний (пример 2), 8‑разрядного сумматора на мегафункции lpm_ add_sub, шинного мультиплексора на мегафункции lpm_mux и 8‑разрядного регистра на мегафункции lpm_dff, выполняющего роль аккумулятора. Один из регистров ShiftN (DIR=0), на вход которого подается число A, работает как преобразователь параллельного кода в последова-
Рис. 2. Нижний уровень иерархии умножителя размерностью 4×4
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ПЛИС
компоненты
87
Рис. 3. Тест цифрового автомата
LIBRARY ieee; USE ieee.std_logic_1164.all; entity ShiftN is port(CLK, CLR, LD, SH, DIR: in STD_LOGIC; D: in std_logic_vector(3 downto 0); Q: out std_logic_vector(7 downto 0)); end ShiftN; architecture a of ShiftN is begin process (CLR, CLK) variable St: std_logic_vector(7 downto 0); subtype InB is natural range 3 downto 0; begin if CLR = '1' then St := (others => '0'); Q <= St; elsif CLK'EVENT and CLK='1' then if LD = '1' then St:=(others=>'0'); St(InB) := D; Q <= St; elsif SH = '1' then case DIR is when '0' => St := '0' & St(St'LEFT downto 1); St := St(St'LEFT-1 downto 0) & '0'; end case; Q <= St; end if; end if; end process; end a;
Пример 1. Сдвиговый регистр на языке VHDL
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY avt IS PORT ( res : IN STD_LOGIC; clk : IN STD_LOGIC; Start : IN STD_LOGIC; LSB : IN STD_LOGIC; Stop : IN STD_LOGIC; Done : OUT STD_LOGIC; Init : OUT STD_LOGIC; Add : OUT STD_LOGIC; Shift : OUT STD_LOGIC ); END avt; ARCHITECTURE BEHAVIOR OF avt IS TYPE type_fstate IS (Check_FS,Init_FS,Adder_FS,shift_FS,End_mult); SIGNAL fstate : type_fstate; SIGNAL reg_fstate : type_fstate; BEGIN Init <='1' when reg_fstate = Init_FS else '0'; Add <='1' when reg_fstate = Adder_FS else '0'; Shift <='1' when reg_fstate = shift_FS else '0'; Done <='1' when reg_fstate = End_mult else '0'; process (clk, res) begin if res = '1' then reg_fstate <= End_mult; elsif clk'event and clk = '1' then case reg_fstate is when Init_FS => reg_fstate <= Check_FS; when Check_FS => if LSB = '1' then reg_fstate <= Adder_FS; elsif Stop ='0' then reg_fstate <= shift_FS; else reg_fstate <= End_mult; end if; when Adder_FS => reg_fstate <= shift_FS; when shift_FS => reg_fstate <= Check_FS; when End_mult => if Start = '1' then reg_fstate <= Init_FS; end if; end case; end if; end process; END BEHAVIOR;
Пример 2. Код языка VHDL управляющего автомата
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 4. Тестирование умножителя на примере умножения 10×10 (результат 100)
тельный, параллельный выход SRA[7…0] нужен лишь для детектирования нуля. На рис. 3 продемонстрирован принцип работы управляющего автомата. Автомат принимает пять состояний с именами Check_FS, Init_FS, Adder_FS, shift_FS, End_mult. В каждом из состояний активен один из сигналов Init, Add, Shift и Done. Автомат разработан по классической схеме, с использованием одного оператора Process (однопроцессный шаблон) для описания памяти состояний и логики переходов. Автомат инициализируется высоким уровнем сигнала Start, синхронизируемого синхросигналом clk, переводящим выход Init в активное состояние. При высоком уровне
сигнала Init происходит загрузка обоих сдвиговых регистров параллельным кодом. Если на вход LSB все время будет поступать логическая 1 (младший разряд SRA[0] 8‑разрядного сигнала SRA[7..0]) с выхода сдвигового регистра ShiftN при DIR=0, то управляющий автомат будет вырабатывать не перекрывающиеся сигналы «сдвинуть» (Shift) и «сложить» (Add). Это возможно, например, при загрузке числа 15D (1111BIN). На рис. 4 показан пример умножения чисел 1010. Результат 100. По окончании процесса умножения вырабатывается сигнал готовности Done. Разработаем цифровой автомат с применением встроенного редактора состояний конечного автомата (рис. 5) и извлечем
а
б
Рис. 5. Граф-автомат: а) разработанный с помощью редактора состояний; б) синтезированный граф-автомат (меню Netlist Viewers/State Machine Viewer)
www.kite.ru
88
компоненты
ПЛИС
Рис. 6. Тестирование умножителя на примере умножения 5×5 (результат 25)
Таблица. Общие сведения по числу задействованных ресурсов ПЛИС Cyclone EP1C3T144C8N Общее число логических элементов (Logic Cells, LC)
47
Количество триггеров логических элементов (LC Registers)
41
Количество таблиц перекодировок (LUT-only LC)
6
Рабочая частота в наихудшем случае Fmax, МГц
275
код языка VHDL в автоматическом режиме. Используется двухпроцессный шаблон. Первый оператор Process описывает блок регистров (память состояний) для хранения состояний автомата. Второй оператор Process предназначен для описания логики переходов и логики формирования выхода (пример 3). Тестирование умножителя на примере умножения 55 показано на рис. 6. Общие сведения по числу задействованных ресурсов в проекте представлены в таблице. Стенд подключается к персональному компьютеру через USB-порт. Для записи файла конфигурации в память ПЛИС через USB-порт персонального компьютера требуется преобразовать *.sof-файл в формат с расширением *.rbf. Загрузка конфигурационного файла в ПЛИС производится с помощью отдельной программы-загрузчика (l2f lash.exe) [5]. Входные и выходные контакты к внешним выводам ПЛИС подключены с помощью меню Assignments/Pins (рис. 7). Из-за того что стенд имеет 8 переключателей S1– S8, пришлось отказаться от загрузки чисел с внешних портов (4‑разрядные сигналы A и B) и от сигнала Done, поскольку доступно всего лишь 8 светодиодов. Умножаемые числа предварительно сохраняются в константах (мегафукция LPM_constant). Далее необходимо следовать рис. 6 и 7. Светодиоды LED1‑LED8 отображают результат умножения (8‑разрядный сигнал Product[7..0]). В проекте принято, что LED8 (pin 121) — это младший значащий разряд. Для подачи тактовых импульсов с помощью кнопки Bottom рекомендуется использовать блок Antitinkling. Данный блок предназначен для подавления дребезга контактов [5]. Из-за этого явления непосредственное подключение кнопки с механическим замыканием контактов к цифровой схеме не всегда допустимо. Суть дребезга заключается в многократном неконтролируемом замыкании и размыкании контактов в момент коммутации, в результате чего на цифровую схему подается множество импульсов вместо одного.
Рис. 7. Схема умножителя с подключенными внешними выводами
- Generated by Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version Add <= '0'; -- Created on Wed Mar 05 14:25:18 2014 Shift <= '0'; CASE fstate IS LIBRARY ieee; WHEN Check_FS => USE ieee.std_logic_1164.all; IF ((NOT((LSB = '1')) AND (Stop = '1'))) THEN reg_fstate <= End_mult; ENTITY avt_flow IS ELSIF ((LSB = '1')) THEN PORT ( reg_fstate <= Adder_FS; reset : IN STD_LOGIC := '0'; ELSIF ((NOT((Stop = '1')) AND NOT((LSB = '1')))) THEN clock : IN STD_LOGIC; reg_fstate <= shift_FS; Start : IN STD_LOGIC := '0'; -- Inserting 'else' block to prevent latch inference LSB : IN STD_LOGIC := '0'; ELSE Stop : IN STD_LOGIC := '0'; reg_fstate <= Check_FS; Done : OUT STD_LOGIC; END IF; Init : OUT STD_LOGIC; WHEN Init_FS => Add : OUT STD_LOGIC; reg_fstate <= Check_FS; Shift : OUT STD_LOGIC Init <= '1'; ); WHEN Adder_FS => END avt_flow; reg_fstate <= shift_FS; Add <= '1'; ARCHITECTURE BEHAVIOR OF avt_flow IS WHEN shift_FS => TYPE type_fstate IS (Check_FS,Init_FS,Adder_FS,shift_FS,End_mult); reg_fstate <= Check_FS; SIGNAL fstate : type_fstate; Shift <= '1'; SIGNAL reg_fstate : type_fstate; WHEN End_mult => BEGIN IF ((Start = '1')) THEN PROCESS (clock,reg_fstate) reg_fstate <= Init_FS; BEGIN ELSIF (NOT((Start = '1'))) THEN IF (clock='1' AND clock'event) THEN reg_fstate <= End_mult; fstate <= reg_fstate; -- Inserting 'else' block to prevent latch inference END IF; ELSE END PROCESS; reg_fstate <= End_mult; END IF; PROCESS (fstate,reset,Start,LSB,Stop) Done <= '1'; BEGIN WHEN OTHERS => IF (reset='1') THEN Done <= 'X'; reg_fstate <= End_mult; Init <= 'X'; Done <= '0'; Add <= 'X'; Init <= '0'; Shift <= 'X'; Add <= '0'; report "Reach undefined state"; Shift <= '0'; END CASE; ELSE END IF; Done <= '0'; END PROCESS; Init <= '0'; END BEHAVIOR;
Пример. 3. VHDL-код, извлеченный в автоматическом режиме из граф-автомата, созданного с помощью редактора состояний в САПР Quartus II
Частота тактового генератора в учебных стендах LESO2 равна 6 МГц, в стендах LESO2.1 и LESO2.3 — 50 МГц. Делитель частоты должен обеспечить интервал между импульсами больше, чем длительность дребезга, и меньше, чем длительность нажатия
кнопки. На рис. 8 показана схема подавителя дребезга с использованием суммирующего счетчика-делителя частоты. Такое простое решение выбрано в силу учебного характера выполняемого проекта. В настоящее время для ПЛИС, реализуемых по технологи-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ПЛИС
а
компоненты
89
б
Рис. 9. Тестирование умножителя на примере умножения 5×5: а) промежуточный результат 5; б) итоговый результат 25
а
б
Рис. 8. а) Подавитель дребезга с использованием суммирующего счетчика-делителя частоты; б) временные диаграммы его работы
ческим процессам с нормами 90 нм и ниже, рекомендуется использовать фильтры подавления дребезга контактов совместно со схемами фазовой автоподстройки частоты (ФАПЧ) [7]. В ПЛИС серии Artix‑7 фирмы Xilinx это блоки управления синхронизацией Clock Management Tile (CMT), включающие комбинированные модули управления синхронизацией Mixed-Mode Clock Managers (MMCM). Например, в [7] показан проект
на ПЛИС XC7A100N со схемой подавления дребезга контактов. Сигнал с кварцевого генератора с частотой 100 МГц подключен к синтезатору тактовой частоты (через специальный входной буфер типа IBUFG), который обеспечивает формирование дочернего тактового сигнала с частотой 166 МГц. Далее сигнал с частотой 166 МГц поступает на делитель частоты с коэффициентом 131072, на выходе которого формируется сигнал
с частотой 1,27 кГц, являющийся сигналом разрешения тактирования фильтров (работают на частоте 166 МГц, как и весь проект в целом). Данный сигнал (CEO_1K27) устанавливается в единицу в течение одного такта частоты 166 МГц, после чего 131 071 такт находится в нуле. Таким образом, единичные однотактовые импульсы высокого уровня разрешают переключение внутренних счетчиков фильтров с частотой 1,27 кГц [7]. В нашем случае 19‑разрядный счетчик обеспечивает коэффициент счета 524 287 и выходной сигнал cout с пониженной частотой 95,37 Гц (100 Гц — период 10 мс). Время дребезга кнопки составляет примерно 2 мс. На рис. 9 показано тестирование умножителя на примере умножения 55. Тестирование осуществляется следующим образом. Согласно рис. 6 щелкаем переключателем S2 (pin 50), выполняющим роль асинхронного сигнала res. Переводим в верхнее положение переключатель S3 (pin 51) — сигнал start, далее нажимаем на кнопку Button (pin 37) один раз, происходит загрузка чисел в умножитель. Переводим переключатель S3 в нижнее положение. Щелкаем три (рис. 9а) и пять раз (рис. 9б) кнопкой Button для имитации подачи синхросигнала. Итоговый результат умножения — десятичное число 25, а процесс умножения осуществляется за 9 тактов синхрочастоты. Запрограммировать ПЛИС возможно с помощью Altera USB Blaster без предварительного преобразования *.sof-файла в формат *.rbf (рис. 10). Программирование осуществляется непосредственно в САПР Quartus II (меню Tools/Programmer). В этом случае питание лабораторного стенда LESO2.1 реализуется через USB-кабель, а программирование выполняется через JTAG-интерфейс.
Выводы Рис. 10. Окно утилиты программирования ПЛИС
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Учебный лабораторный стенд LESO2.1 отечественной разработки содержит хороwww.kite.ru
компоненты
90
ПЛИС
ший функциональный набор для занятий по цифровой схемотехнике и может быть использован для изучения основ проектирования комбинационных и последовательностных устройств. n
Литература 1. Строгонов А., Быстрицкий А. Проектирование умножителя методом правого сдвига и сложения с управляющим автоматом в базисе ПЛИС // Компоненты и технологии. 2013. № 12. 2. Строгонов А., Винокуров А., Джиоти А. Проектирование умножителя целых чисел со знаком методом правого сдвига и сложения в базисе ПЛИС // Компоненты и технологии. 2014. № 1.
новости
3. Computer Arithmetic: Algorithms and Hardware Designs (Oxford U. Press, 2nd ed., 2010, ISBN 978-0-19-532848-6). 4. Строгонов А., Быстрицкий А., Джиоти А. Проектирование КИХ-фильтра на умножителе методом правого сдвига и сложения в базисе ПЛИС // Компоненты и технологии. 2014. № 1. 5. Учебный лабораторный стенд LESO2.1. Паспорт и Инструкция по эксплуатации. Новосибирск. 2009. www.labfor.ru 6. http://www.csit-sun.pub.ro/resources/asic/CH10.pdf 7. Борисенко Н. Модель узла управления динамическим 7‑сегментным индикатором с подавлением дребезга контактов кнопок в объеме ПЛИС Xilinx Artix‑7 для отладочной платы Digilent Nexys 4 // Компоненты и технологии. 2014. № 2.
ВЧ/СВЧ-элементы
Транзисторы серии 2731GN от Microsemi для импульсных радаров S‑диапазона
• максимальное напряжение «исток-сток»: 125 В; • максимальное напряжение «затвор-исток»: –8…0 В. • диапазон температур хранения: –55…+125 °C; • максимальное напряжение «исток-сток»: 125 В. www.icquest.ru
Реклама
более 15,3 дБ, уровень импульсной радиочастотной выходной мощности 220/300 Вт для сигнала с шириной импульса 200 мкс и 20%-ным коэффициентом заполнения во всей полосе частот 2700–3100 МГц. Устройства выпускаются в герметичных корпусах форм-фактора 55‑QP с применением металлизации золотом и предназначены для пайки эвтектическими сплавами. Основное назначение — применение в схемах импульсных радаров S‑диапазона. Технические характеристики транзисторов 2731GN‑220LV/2731GN‑300LV: • выходная мощность 220/300 Вт; • максимальная мощность рассеяния при температуре +25 °C: 485/660 Вт;
Реклама
Компания Microsemi представила транзисторы 2731GN‑220LV и 2731GN‑300LV, разработанные для применения в схемах импульсных радаров S‑диапазона на частотах 2,7–3,1 ГГц. Новые транзисторы с высокой подвижностью электронов производятся по технологии «нитрид галлия на подложке из карбида кремния» (GaNon-SiC HEMT) и выполнены по схеме включения с общим истоком. Использование подложки из SiC вместо традиционного Si значительно улучшает теплоотвод, благодаря чему увеличивается допустимая мощность компонента. Представленные транзисторы созданы для работы в усилителях класса AB и обеспечивают усиление
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
92
компоненты
ПЛИС
Базовый маршрут разработки ПЛИС Altera Cyclone V SOC FPGA с аппаратной процессорной системой ARM Cortex A9 на примере стартового отладочного комплекта SoCrates и референсного дизайна EBV Elektronik. Часть 2
Антон Висторовский anton.vistorovsky@ebv.com
Первая часть настоящей статьи, в которой рассмотрены возможности пакета системной интеграции Qsys, интегрированной в основной пакет проектирования Altera Quartus‑2, опубликована в предыдущем номере журнала [1]. Вторая часть продолжает рассказ о маршруте разработки на SOC FPGA от Altera и посвящена вопросам создания в ПО Altera SOC EDS (Embedded Development Suite), пакета поддержки платы BSP и генерации загрузчика preloader для последующей выгрузки и исполнения загрузчика U‑boot операционной системы Linux из SDRAM. В статье рассматривается процесс запуска ОС Linux на плате SOCrate и приложений под OC Linux.
Введение Для прохождения второй части маршрута разработки потребуется установить следующее ПО (подробности изложены в первой части статьи, опубликованной в [1]): 1. Установить пакет Quartus‑2 версии 13.0 или 13.1 с сайта Altera [5, 6] или с сайта EBV [7]. Для работы с решением SOC FPGA подходит бесплатная версия Web edition, с установленной библиотекой поддержки семейства Cyclone‑5. 2. Установить пакет SOC EDS (ARM DS‑5 Altera edition). 3. Linux или виртуальная машина, например WMware. 4. Созданный проект (первая часть статьи) или референсный проект (ссылка ниже). 5. Скачать файлы референсного проекта EBV Elektronik. Заметим, что в процессе освоения маршрута разработки SOC Altera весьма целесообразно использовать интернет-ресурс, поддерживаемый компанией Altera и посвященный решению SOC FPGA [8]. На ресурсе находятся исходные коды программ, скрипты и сам референсный проект [9], описываемый в этой статье. Для работы с решением SOC FPGA есть несколько отладочных комплектов от компании Altera и третьих партнеров. Мы рассмотрим маршрут разработки на стартовом бюджетном комплекте, разработанном компанией EBV Elektronik совместно с немецкой компанией DevBoards. Стоимость такого комплекта в РФ с НДС составляет около 440 евро. Приведенный маршрут разработки будет справедлив и для других отладочных комплектов.
Отладочная плата SoCrates позволяет взаимодействовать со всей периферией процессорной системы: Ethernet, USB, SPI, I2C, UART, μSDCard и GPIO. На плате установлена ОЗУ-память 32 bit DDR3 и QSPI Flash как альтернатива загрузке процессорной системы из SD-карты. Массив FPGA может быть конфигурирован из второй установленной QSPI Flash. Порты ввода/вывода 3.3 V IO и LVDS IO ПЛИС выведены на разъемы: мультиплексированные выводы. Имеется специальный LVDS-разъем для подключения видеосенсора EBV Observer CMOS Sensor Board. Внизу платы есть разъем для подсоединения TFT-дисплея через LVDS-интерфейс, и в скором времени будет доступен референсный дизайн для работы с графикой. Подробное описание платы и datasheet находится по ссылке [7]. Первый этап работы с референсным дизайном сводится к синтезу дополнительных периферийных устройств на ПЛИС как демонстрация идеологии гибкости ПЛИС путем расширения аппаратной периферии процессорной системы HPS и построения собственной системы на кристалле. В референсном дизайне добавлена (синтезирована) следующая часть периферии посредством вызова стандартных библиотечных компонентов Qsys: добавлены внутренняя память ОЗУ на блоках внутренней памяти ПЛИС, модуль ШИМ-контроллера, регистр SysID для целей синхронизации аппаратуры системы на кристалле с версией пакета поддержки платы (BSP), а также параллельная шина для управления светодиодами. На рис. 2 [1] приведена схема подключения синтезируемых в данном референсном дизайне компонент к мостам процессорной системы HPS (процесс подробно описан в первой части статьи). Результатом первого этапа работы с рефе-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ПЛИС
компоненты
93
Рис. 12. Генерация BSP
Рис. 11. Схема прохождения маршрута проектирования второго этапа
ренсным дизайном является готовая система на кристалле и файлы перехода к созданию ПО. Разработчики, желающие опустить первый этап, могут воспользоваться готовым проектом Quartus (файл .qpf) из референсного дизайна. Второй этап работы с референсным дизайном сводится к получению образа загрузчика preloader, загрузке OS Linux и простейших приложений, действующих с синтезированным на первом этапе периферийным компонентом, используя файлы перехода, которые находятся в папке референсного проекта Установочная директория Quartus/hps_isw_handoff/SOC_system_hps_0. Схему прохождения маршрута смотрите на рис. 11.
Основной маршрут проектирования SOC FPGA, этап перехода к разработке ПО (HW/SW handoff) по шагам Для целей генерации пакета поддержки платы (BSP), загрузчика preloader и последующего запуска ОС Linux на плате SoCrates вызывается консоль командного интерпретатора command shell.bat из каталога установки ПО SOC EDS (путь: каталог установки ПО SOC EDS\Altera\13.0sp1\). С помощью команды bsp-editor вызывается графический интерфейс редактора пакета BSP (рис. 12). Далее для целей настройки установок загрузчика preloader в окне редактора создания нового пакета поддержки платы (File → New BSP) установлена директория файлов перехода, описывающих состав системы на кристалле и содержащих настройки физического интерфейса памяти DDR3, установленной на плате SoCrates. Чтобы установить источник загрузки (рис. 13), в опциях настройки редактора BSP settings выбрана опция Boot_from_ SDMMC, так как в референсном дизайне использована загрузка с подготовленной SD-карты, уже содержащей загрузчик U‑boot и ядро системы. Настройки редактора пакета BSP приведены на рис. 7–9 [1]. Процессорная система HPS может иметь и альтернативные способы загрузки, например NAND, QSPI или загрузку по сети. Также заметим, что решение Altera SOC FPGA поддерживает загрузку со стороны ПЛИС. После успешной генерации пакета поддержки платы нужно вернуться к встроенному командному интерпретатору (embedded
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 13. Выбор источника загрузки
command shell) и перейти к директории, используемой редактором bsp-editor для генерации исходных файлов загрузчика preloader, после чего запустить процесс сборки бинарного файла командой make — собранный файл имеет название preloader-mkpimage.bin (256 кбайт) и содержит четыре идентичных раздела-версии, файл находится в папке проекта: <Папка проекта>/software/spl_bsp. $ cd /<Папка проекта>/software/spl_bsp $ make
Для загрузки ОС Linux с SD-карточки нужно скопировать образ preloader-mkpimage.bin file в специальный раздел отформатированной SD-карты. SD-карта платы SoCrates уже отформатирована надлежащим образом (подробные указания к форматированию карты и разбитию на разделы можно посмотреть в руководстве Altera Embedded Starting Guide, раздел Setting up the SD Card under Linux). Кратко, на SD-карте SoCrates есть три отформатированных раздела: • sdb1, содержащий U‑Boot SPL; • sdb2, содержащий Linux uImage, Device tree и .sof-файлы конфигурации ПЛИС; • sdb3 — файловая система Linux и .sof-файлы ПЛИС. Процесс копирования работает под Linux или через специальные Windows-утилиты копирования. Автор использовал виртуальную машину WMware. Образ загрузчика preloader-mkpimage.bin записывается в первый раздел SD-карт, для примера sdb1=1M (только для целей примера, на каждой конкретной машине разделы отличаются sda/sdb/sdb), через команду побитного копирования dd с определенного адреса. Укажите именно ваш раздел в of=/dev/sdb1, в противном www.kite.ru
94
компоненты
ПЛИС
Рис. 14. Загрузка ОС Linux на плате SOCrate (login: root, вход без пароля)
Первая программа выводит сообщение в консоль Hello World from SoCrates!, вторая программа более интересная, позволяет работать с адресным пространством. В примере рассматривается управление светодиодами через компонент LED PIO (рис. 15), синтезированный на FPGA. Компонент LED PIO управляется мостом управления lwhps2fpga bridge. Базовый адрес моста LW= 0xFF200000. Смещение компонента LED PIO в нашей системе относительно моста управления нулевое — 0x0 (среда Qsys). Если FPGA еще не сконфигурирована, откройте программатор Quartus II Programmer и с ко н ф и г у р и р у й т е П Л И С о б р а з о м SoCrates_lab1.sof. Через команды в терминале (TeraTerm) зажгите и погасите светодиоды на плате SoCrates, передав программе следующие команды: % ./devmem2 0xFF200000 w 00 % ./devmem2 0xFF200000 w 09
Рис. 15. Смещение компонента LED PIO в Qsys
случае вы можете уничтожить содержимое нужного вам раздела: $ cd ~/каталог прелоадер/ $ sudo dd if=preloader-mkpimage.bin of=/dev/sdb1 bs=64k seek=0 $ sync
После успешной записи образа загрузчика preloader в первый раздел SD-карты (образы u‑boot, Linux File System, Linux Kernel и Device Tree уже находятся на SD-карточке в соответствующих разделах sdb1, sdb3 и sdb2) карта устанавливается в плату SoCrates и подается питание к плате. Для того чтобы соединиться с платой через консоль и вывести на экран процесс загрузки ОС Linux (рис. 14), потребуется подключение через интерфейс последовательного порта, установленного на плате: TUSB3410 (Serial на USB) — драйвер инсталлируется с сайта производителя TI [11]. Для индикации соединения на плате имеется светодиод LED (D44). Для настройки параметров соединения используется программа терминала TeraTerm или PuTTy, где скорость работы порта конфигурируется на 57 600 бод. После установки SD-карты в плату требуется нажать кнопку мягкой перезагрузки Soft-Reset, расположенную рядом с мостом Serial/USB. Итак, ОС Linux запущена на плате SoCrates! Для того чтобы войти в ОС Linux, понадобится ввести login=root, пароля не нужно. Для дальнейшей передачи программы на исполнение следует установить Ethernet-соединение с платой и назначить статический IP-адрес через консоль и проверить соединение: $ ifconfig eth0 192.168.42.99 up $ ping . . . . ваш IP-адрес хост-машины / $ ping 192.168.42.99
После установки соединения в референсном дизайне нужно запустить на плате
несколько небольших программ-приложений (программы: hellosoc.c и devmem2.c), чтобы проверить работоспособность ранее синтезированного периферийного модуля управления светодиодами. Необходимо установить на виртуальную машину компилятор gcc — Linaro. Данный процесс описан в руководстве Altera — Embedded Linux Getting Started Guide: $ gcc-linaro-arm-linux-gnueabihf-4.7-2013.03-20130313_linux % export PATH=/home/mint/altera-linux/linaro/gcc-linaro-armlinux-gnueabihf-4.7-2012.11-20121123_linux/bin:$PATH
Код программ доступен со страницы референс-дизайна EBV Elektronik (раздел SoCrates workshop software) [10]. Первая программа hellosoc представляет собой Hello World, код приведен ниже, вторая программа devmem2 читает и записывает в адресное пространство: # include <stdio.h> int main (int argc, void**argv) { printf (“Hello World from SoCrates!”\n); return 0; }
Программы требуется откомпилировать на Linux хост-машине под Linaro crosscompiler через команду в терминале и передать для последующего исполнения c платы SoCrates, через команду scp или через tftpсервер: % arm-linux-gnueabihf-gcc –o hellosoc hellosoc.c (devmem2.c) % scp hellosoc root@192.168.42.99 <пользователь и адрес платы>:~/ hello <директория на плате)>
Запуск программ hellosoc и devmem2 на исполнение на плате SoCrates осуществляется через следующие команды в терминале: % chmod +x hellosoc (devmem2) % ./hellosoc (devmem2)
Поздравляем! Если вы закончили материал из первой и второй части статьи, то успешно освоили начальный маршрут проектирования SOC FPGA Altera. Желаем успеха в самостоятельной разработке на SOC FPGA!
Заключение В следующей статье планируется рассмотреть пакет ARM DS‑5 Altera edition — совместную разработку компаний Altera и ARM, позволяющую, используя аппаратные возможности, заложенные в архитектуре решения SOC FPGA, производить взаимную отладку cross-trigger между процессором и ПЛИС через назначение точки останова в ПО ARM DS‑5 Altera edition и установление срабатывания логики в логическом анализаторе пакета Quartus‑2, Logic Analyser, и наоборот. n
Литература 1. Висторовский А. Базовый маршрут разработки ПЛИС Altera Cyclone V SOC FPGA с аппаратной процессорной системой ARM Cortex A9 на примере стартового отладочного комплекта SoCrates и референсного дизайна EBV Elektronik. Часть 1 // Компоненты и технологии. 2014. № 4. 2. Altera Cyclone V Device Handbook, Volume 3: Hard Processor System Technical Reference Manual. 3. Altera SoC Embedded Design Suite User Guide. 4. SoCrates Reference Design EBV ELEKTRONIK. 5. http://www.altera.com/products/software/ quartus-ii/web-edition/qts-we-index.html 6. https://www.altera.com/download/software/soceds 7. h t t p : / / w w w . e b v . c o m / p r o d u c t s / p r o d u c t details/5797/SoCrates?L=0 8. http://rocketboards.org/ 9. http://www.rocketboards.org/foswiki/Projects/ SoCratesHWReferenceDesign 10. http://rocketboards.org/foswiki/Documentation/ EBVSoCratesWorkshops 11. http://www.ti.com/litv/zip/sllc428a
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
96
новости
измерительная аппаратура
Векторный анализатор сигналов в формате PXIe с диапазоном частот до 27 ГГц ности измерительных приложений серии X и программы векторного анализа сигналов 89600 VSA, которые обеспечивают полную программную совместимость с настольными анализаторами сигналов Agilent с целью оптимального использования методик испытаний. Прибор обеспечивает стабильные точные результаты измерений на протяжении всего своего жизненного цикла. Новые возможности программы 89600 VSA по измерениям дискретного спектра в сочетании с анализатором M9393A позволяют выполнять измерения гармоник и паразитных сигналов в диапазоне 27 ГГц менее чем за 1 с с полосой пропускания 10 кГц. Использование измерительных приложений серии X для модульных приборов совместно с анализатором M9393A упрощает тестирование устройств на соответствие требованиям стандартов LTE, WLAN и др. Для анализатора M9393A характерна присущая системам в формате PXI гибкость и масштабируемость. Благодаря активируемым с помощью лицензионных ключей опциям расширения диапазона частот, полосы анализа, объема памяти и предусилителей инженеры имеют возможность легко и быстро изменять конфигурацию измерительной системы в соответствии с потребностями тестирования. Модульная архитектура анализатора M9393A позволяет по мере совершенствования аппаратной части путем модернизации или замены одного модуля максимально повысить степень ис-
пользования существующего оборудования и существенно снизить затраты на испытания. Использование нового встроенного контроллера в формате PXI Agilent M9037A дает возможность увеличить скорость измерений анализатора M9393A. Высокопроизводительный контроллер M9037A предназначен для применения в сложных системах, включающих несколько шасси, и защищенных средах. Он оснащен четырехъядерным процессором Intel i7-4700EQ с частотой 2,4 ГГц и обеспечивает скорость передачи данных до 12 Гбайт/с. Быстродействующий процессор обладает высокой скоростью вычислений, необходимой для измерений модуля вектора ошибки и мощности, что позволяет сократить время тестирования и увеличить производительность испытаний. www.agilent.com
Реклама
Компания Agilent Technologies, Inc. представила новый векторный анализатор сигналов в формате PXIe M9393A, обеспечивающий недоступную ранее для модульных приборов скорость и точность измерений в диапазоне частот до 27 ГГц. Анализатор сигналов M9393A выполнен в форм-факторе PXI и позволяет использовать проверенные методики СВЧ-измерений компании Agilent для тестирования в процессе производства и аттестации передатчиков и компонентов радиолокационных систем, средств связи военного назначения, а также оборудования спутниковой и беспроводной связи. Инновационная конструкция анализатора M9393A обеспечивает возможность тестирования характеристик устройств как для существующих, так и для перспективных стандартов связи в диапазоне частот от 9 кГц до 27 ГГц с полосой анализа 160 МГц. Анализатор имеет отличные технические характеристики: так, абсолютная погрешность измерения амплитуды составляет ±0,15 дБ, средний уровень собственных шумов (DANL) порядка –160 дБм, интермодуляционные искажения (точка пересечения 3‑го порядка, TOI) на уровне +30 дБ. Кроме того, прибор обеспечивает скорость переключения частоты менее 150 мкс, что позволяет существенно сократить время тестирования и увеличить производительность испытаний. С помощью анализатора сигналов M9393A можно максимально эффективно использовать возмож-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ПЛИС
Продолжение. Начало в № 4`2014
Валерий Зотов walerry@km.ru
Включение IP-компонентов периферийных устройств, конфигурируемых на базе ресурсов программируемой логики PL, в спецификацию аппаратной платформы MHS В дальнейшем весь ход процесса формирования спецификации аппаратной платформы MHS поясняется на примере проекта простейшей системы сбора и обработки данных. Разрабатываемая система предназначена для приема данных, поступающих на входы параллельных портов ввода/вывода общего назначения, их обработки и дальнейшей передачи полученных результатов через последовательный интерфейс RS‑232 в центральный компьютер. В рассматриваемом варианте этой системы используется один канал входных данных, представленных в виде па-
97
Проектирование встраиваемых микропроцессорных систем на базе расширяемых процессорных платформ семейства Zynq‑7000 AP SoC в САПР Xilinx ISE Design Suite раллельного 8‑разрядного двоичного кода, но предлагаемая архитектура поддерживает возможность ее масштабирования до требуемого количества каналов приема и обработки данных с различной разрядностью. При этом следует учитывать, что максимальное количество каналов и разрядность входных данных ограничивается, в первую очередь, числом доступных пользовательских выводов применяемого кристалла расширяемой процессорной платформы. Для реализации функций проектируемой системы сбора и обработки данных достаточно одноядерного варианта конфигурации процессорного блока PS кристаллов расширяемых вычислительных платформ семейства Zynq‑7000 AP SoC. Структурное представление архитектуры разрабатываемой системы показано на рис. 18. В состав этой архитектуры, кроме процессорного блока PS,
Рис. 18. Структурное представление архитектуры простейшей системы сбора и обработки данных
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
компоненты
входит блок портов ввода/вывода общего назначения с модулем интерфейса AXI, который конфигурируется на базе ресурсов программируемой логики PL кристаллов этого семейства. Расширение архитектуры до необходимого количества каналов приема и обработки данных с различной разрядностью осуществляется путем подключения дополнительных портов ввода/вывода общего назначения. Для организации передачи результатов обработки входных данных в центральный компьютер в составе процессорного блока PS задействован один из двух контроллеров интерфейса UART [1]. Порты ввода/вывода общего назначения GPIO, входящие в состав периферии процессорного блока PS, зарезервированы для подключения элементов индикации. Аппаратная реализация разрабатываемой системы сбора и обработки данных выполняется на базе отладочной платы ZedBoard [12]. Поэтому при формировании спецификации аппаратной платформы этой системы используется шаблон конфигурации процессорной системы ZedBoard Development Board Template, предоставляемый средствами Xilinx Platform Studio, который был рассмотрен в предыдущей части статьи. При этом неиспользуемые периферийные устройства процессорного блока PS, задействованные в этом варианте конфигурации (в частности, контроллеры интерфейсов Ethernet (Enet0), SD/SDIO (SD0), USB (USB0) и таймер/счетчик TTC0), могут быть отключены. Функции имитатора входных данных выполняет блок DIP-переключателей, установленных на плате инструментального модуля ZedBoard, которые сопряжены с пользовательскими выводами программируемой логики кристалла семейства Zynq‑7000 AP SoC. Для аппаратной реализации проектируемой системы можно применять и другие отладочные модули, представленные в [14, 15]. В этом случае при подготовке спецификации аппаратной платформы MHS нужно указать шаблон конфигурации процессорного блоwww.kite.ru
98
компоненты
ПЛИС
Рис. 19. Вид вкладки Bus Interfaces после включения процессорного блока PS в спецификацию MHS
ка PS, соответствующий типу используемой платы. После включения процессорного блока PS в спецификацию аппаратной платформы разрабатываемой системы и последующей настройки его конфигурации рекомендуется открыть вкладку Bus Interfaces страницы System Assembly View основного окна средств проектирования Xilinx Platform Studio, на которой отображается информация об IPядрах, добавленных в состав нового проекта. Эта информация представлена в форме таблицы, содержащей семь колонок — Name, IP Version, IP Classification, Bus Name, Bus Standard, IP Type и Type. Следует обратить внимание на то, что некоторые из перечисленных столбцов таблицы могут отсутствовать на экране. Для определения состава отображаемых колонок следует воспользоваться контекстно-зависимым всплывающим меню, которое открывается щелчком правой кнопки мыши при расположении курсора в строке, содержащей названия столбцов таблицы. Это меню содержит список названий всех колонок таблицы, указанных выше, в котором видимые столбцы отмечены маркером на поле соответствующего индикатора состояния. Включение/выключение требуемой колонки таблицы осуществляется щелчком левой кнопки мыши при расположении курсора в строке с ее названием. В ячейках, образующих столбец Name, приводятся идентификаторы экземпляров IP-ядер, включенных в состав создаваемой спецификации MHS.
Ячейки, входящие в состав колонки IP Version, содержат сведения о версии используемого IP-компонента. В ячейках, составляющих столбец IP Classification, представлена информация о классификационных категориях IP-ядер, к которым относятся применяемые компоненты. В составе спецификации аппаратной платформы проектируемых встраиваемых систем могут употребляться, в частности, компоненты следующих классов: процессорные ядра (Processor), периферийные устройства (Peripheral), шинные интерфейсы (AXI Bus). В ячейках, образующих колонку Bus Name, отображаются идентификаторы шин, которые используются для сопряжения экземпляров IP-компонентов. Каждая ячейка этой колонки представляет собой поле выбора, выпадающий список которого содержит идентификаторы доступных шин. Ячейки, входящие в состав столбца Bus Standard, содержат названия стандартных интерфейсов, к которым относятся соответствующие шины. В ячейках, составляющих колонку IP Type, представлены идентификаторы IP-ядер, экземпляры которых применяются в составе формируемой спецификации MHS. В ячейках столбца Type приводится информация о режиме подключения соответствующих компонентов к используемому интерфейсу — ведущем (Master) или подчиненном (Slave). Первоначально в таблице, расположенной на вкладке Bus Interfaces страницы System
Assembly View, должна быть представлена только информация об экземпляре ядра процессорного блока processing_system7, как показано на рис. 19. Чтобы добавить в спецификацию аппаратной платформы проектируемой системы IPкомпоненты периферийных устройств, конфигурируемых на базе ресурсов программируемой логики PL кристаллов семейства Zynq‑7000 AP SoC, следует воспользоваться вкладкой IP Catalog рабочей области проекта, расположенной в левой части основного окна средств разработки Xilinx Platform Studio. Эта вкладка открывает доступ к депозитарию IP-ядер, предоставляемых комплексом средств автоматизированного проектирования встраиваемых микропроцессорных систем Xilinx Embedded Development Kit, а также к IP-компонентам, созданным разработчиком. Для удобства работы с депозитарием IP-ядер рекомендуется развернуть вкладку IP Catalog таким образом, чтобы в ней отображалась полная информация о предоставляемых IP-компонентах, как показано на рис. 20. Вся необходимая информация о доступных IP-ядрах представлена в форме таблицы, включающей в себя шесть колонок — Description, IP Version, IP Type, Status, Processor Support и IP Classification. IP-компоненты, предоставляемые комплексом Xilinx Embedded Development Kit, распределены по группам в соответствии с их функциональным назначением. Первоначально в колонке Description ото-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ПЛИС
компоненты
99
Рис. 21. Запрос подтверждения включения экземпляра IP-ядра в состав спецификации MHS
Рис. 22. Вид вкладки User диалоговой панели «мастера» настройки ядра AXI General Purpose IO
Рис. 20. Развернутый вид вкладки IP Catalog рабочей области основного окна средств XPS
бражаются только названия этих групп. Для получения доступа к IP-ядрам, входящим в состав требуемой группы, следует поместить курсор на знак +, расположенный слева от ее названия, и щелкнуть левой кнопкой мыши. После этого в колонке Description появится интерактивный список названий IP-компонентов, относящихся к выбранной функциональной группе. Информация о версиях IP-ядер содержится в колонке IP Version. Сведения о типе и статусе предоставляемых IP-компонентов приводятся в колонках IP Type и Status соответственно. В колонке Processor Support перечислены названия микропроцессорных ядер, которые поддерживают эти IP-компоненты. Информация о классификационной категории, к которой относятся IP-ядра, отображается в колонке IP Classification. Для включения одного экземпляра требуемого IP-компонента в состав формируемой спецификации аппаратной платформы раз-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
рабатываемой микропроцессорной системы достаточно расположить курсор на строке с его названием и дважды щелкнуть левой кнопкой мыши. Вслед за этим на экран выводится диалоговая панель Add IP Instance to Design, вид которой приведен на рис. 21. Эта диалоговая панель содержит запрос подтверждения, разрешающего включение экземпляра выбранного IP-ядра в состав создаваемой спецификации MHS. После утвердительного ответа на этот запрос (нажатия кнопки Yes) активизируется «мастер» настройки параметров конфигурации выбранного ядра. Этот «мастер» представляет собой диалоговую панель, которая, как правило, содержит несколько вкладок. На каждой из них находится соответствующая группа параметров настройки используемого IP-ядра. В качестве примера добавим в состав спецификации MHS микропроцессорной системы, архитектура которой изображена на рис. 18, необходимую периферию, конфигурируемую на базе ресурсов программируемой логики PL кристаллов семейства Zynq‑7000 AP SoC. Для включения портов ввода/вывода общего назначения, сопряженных с интерфейсом AXI, в состав спецификации аппаратной платформы следует выбрать в таблице, расположенной на вкладке IP Catalog, IP-ядро AXI General Purpose IO, которое входит в состав группы General Purpose IO (рис. 20). После подтверждения необходимости добавления экземпляра этого IP-компонента в состав формируемой спецификации MHS на экране появляется диалоговая панель «мастера» настройки параметров конфигурации ядра AXI General Purpose IO, первоначальный вид которой показан на рис. 22. Открывшаяся диалоговая панель XPS Core Config содержит три вкладки: User, System, Interconnect Settings for BUSIF.
Настройка параметров конфигурирования периферийного ядра AXI General Purpose IO В диалоговой панели XPS Core Config рекомендуется, в первую очередь, обратить внимание на идентификатор экземпляра IP-ядра, который отображается в поле редактирования значения параметра www.kite.ru
100
компоненты
ПЛИС
Рис. 23. Обобщенная структура IP-ядра AXI General Purpose IO
Component Instance Name. По умолчанию средства проектирования Xilinx Platform Studio предлагают для создаваемого экземпляра выбранного IP-ядра идентификатор в следующем формате: <условное_обозначение_IP-ядра>_<порядковый_номер_экземпляра>
Например, первому экземпляру ядра AXI General Purpose IO по умолчанию присваивается идентификатор axi_gpio_0. При необходимости можно изменить предлагаемый идентификатор, воспользовавшись клавиатурой. В этом случае следует соблюдать те же правила, что и при определении названия проекта. Затем нужно установить требуемые значения пользовательских параметров добавляемого экземпляра рассматриваемого IP-ядра, которые представлены на вкладке User диалоговой панели XPS Core Config. Параметр GPIO Support Interrupt предоставляет возможность использования модуля прерываний, который входит в состав структуры ядра AXI General Purpose IO, изображенной на рис. 23. Чтобы задействовать механизм прерываний в формируемом экземпляре этого ядра, необходимо установить индикатор состояния этого параметра в положение «включено». Параметр Enable Channel 2 позволяет выбрать вариант конфигурирования добавляемого экземпляра ядра AXI General Purpose IO — одноканальный или двухканальный. Рассматриваемое IP-ядро поддерживает возможность генерации двухканальной структуры портов ввода/вывода общего назначения, схема реализации которой приведена на рис. 24. Для создания экземпляра двухканального варианта конфигурирования ядра AXI General Purpose IO необходимо установить индикатор состояния параметра Enable Channel 2 в положение «включено». По умолчанию этот индикатор находится в сброшенном состоянии, при котором формируется экземпляр интерфейса портов ввода/вывода общего назначения с одноканальной структурой. Это позволяет существенно сократить объем ресурсов программируемой логики, необходимых для его реализации. С помощью параметров GPIO Data Channel Width определяется разрядность каждого канала формируемого экземпляра ядра AXI General Purpose IO, которую можно выбирать в диапазоне от 1 до 32 двоичных разрядов. По умолчанию в полях редактирования значений параметров GPIO Data Channel Width предлагается максимальная поддерживаемая разрядность портов ввода/вывода общего назначения, равная 32. Параметры Channel 1 Data OUT Default Value и Channel 2 Data OUT Default Value позволяют указать значения выходных данных, устанавливаемые по умолчанию на выходах соответствующих каналов. По умолчанию для этих параметров предлагаются нулевые значения. При необходимости изменения этих значений следует активизировать соответствующее поле редактирования и воспользоваться клавиатурой. Требуемые значения выходных данных указываются в шестнадцатеричном формате. При этом количество разрядов задаваемого значения должно соответствовать выбранной разрядности соответствующего канала.
Рис. 24. Схема реализации двухканального варианта конфигурирования IP-ядра AXI General Purpose IO
Параметры Channel 1 3‑state Default Value и Channel 2 3‑state Default Value определяют содержимое регистров управления тристабильными выходами, устанавливаемое по умолчанию. С помощью параметров Channel 1 is Input Only и Channel 2 is Input Only можно осуществлять конфигурирование портов ввода/вывода первого и второго каналов соответственно для выполнения функции только входных портов. Для этого следует установить индикатор состояния соответствующего параметра в положение «включено». Тем самым минимизируется объем ресурсов программируемой логики, используемых для реализации формируемого экземпляра IP-ядра AXI General Purpose IO. Далее целесообразно проконтролировать и при необходимости изменить значения системных параметров интерфейса AXI создаваемого экземпляра рассматриваемого IP-ядра, представленных на вкладке System (рис. 25) диалоговой панели XPS Core Config.
Рис. 25. Вкладка System диалоговой панели «мастера» настройки ядра AXI General Purpose IO
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ПЛИС
В поле редактирования значения параметра AXI Base Address отображается адрес, определяющий нижнюю границу диапазона адресного пространства, который выделяется для добавляемого экземпляра IP-компонента. Поле редактирования значения параметра AXI High Address содержит адрес, соответствующий верхней границе диапазона адресного пространства, который предоставляется для создаваемого экземпляра IP-ядра. По умолчанию в этих полях редактирования приводятся недопустимые значения адресов (0xFFFFFFFF и 0x00000000 соответственно), которые нужно изменить с помощью клавиатуры. Значения адресов задаются в шестнадцатеричном представлении. При этом необходимо учитывать, что размер диапазона адресного пространства (разность значений, указываемых в полях редактирования AXI High Address и AXI Base Address), выделяемого для одного экземпляра ядра AXI General Purpose IO, должен быть не менее значения 0xFFF. Если разработчик не указывает требуемые границы используемого диапазона адресного пространства для IPядра, включаемого в состав спецификации аппаратной платформы разрабатываемой системы, то средства Xilinx Platform Studio автоматически устанавливают значения параметров AXI High Address и AXI Base Address. С этими значениями можно впоследствии ознакомиться на вкладке Addresses страницы System Assembly View основного окна средств XPS. Параметр AXI Data Bus Width предоставляет возможность выбора необходимой разрядности для шины данных используемого интерфейса AXI. По умолчанию в поле редактирования значения этого параметра предлагается 32‑разрядный вариант шины данных. С помощью параметра AXI Address Bus Width указывается разрядность шины адреса интерфейса AXI, применяемого для подключения портов ввода/вывода общего назначения. Параметр AXI Protocol позволяет выбрать протокол интерфейса AXI, используемый для подключения создаваемого экземпляра ядра AXI General Purpose IO. По умолчанию в поле выбора значения этого параметра отображается вариант AXI4LITE, который соответствует одноименному протоколу. Как правило, для перечисленных системных параметров рассматриваемого IP-ядра рекомендуется оставить значения, предлагаемые по умолчанию. После установки необходимых значений системных параметров интерфейса AXI создаваемого экземпляра ядра AXI General Purpose IO следует открыть вкладку Interconnect Settings for BUSIF диалоговой панели XPS Core Config, вид которой изображен на рис. 26. На этой вкладке представлены параметры подключения компонента, добавляемого в формируемую спецификацию MHS, к шине интерфейса AXI в подчиненном режиме (Slave). С помощью параметра Is ACLK Asynchronous to Interconnect_ACLK устанавливается наличие или отсутствие синхронизации тактовых
компоненты
101
сигналов подчиненного порта интерфейса AXI и межсоединений Interconnect AXI. По умолчанию для этого параметра предлагается значение Auto, при котором выбор режима синхронизации указанных тактовых сигналов осуществляется автоматически. Значение параметра ACLK Frequency Ratio определяет соотношение частот тактовых сигналов подчиненного порта интерфейса AXI и межсоединений Interconnect AXI при наличии их синхронизации. По умолчанию этот параметр принимает единичное значение. Параметр Require TrustZone Secure on all accesses предоставляет возможность применения защищенного режима доступа к интерфейсу AXI. По умолчанию индикатор состояния этого параметра находится в сброшенном положении, соответствующем обычному (незащищенному) режиму доступа к интерфейсу AXI. Параметры Use Register Slice on AW Channel, Use Register Slice on AR Channel, Use Register Slice on W Channel, Use Register Slice on R Channel и Use Register Slice on B Channel позволяют задействовать буферные регистры в каналах адресов записи и чтения, записываемых, считываемых и ответных данных соответственно. По умолчанию для перечисленных параметров установлено значение Bypass, блокирующее применение буферных регистров в соответствующих каналах интерфейса AXI. Значения параметров Write Data FIFO Depth и Read Data FIFO Depth определяют размер FIFO-буфера записываемых и считываемых данных соответственно. По умолчанию для этих параметров предлагается нулевое значение, указывающее на то, что FIFO-буферы в каналах записи и чтения данных не используются. Параметры Write Transaction Acceptance Limit и Read Transaction Acceptance Limit предназначены для ограничения количества транзакций записи и чтения данных. По умолчанию для этих параметров предлагается значение Auto, при котором ограничение количества соответствующих транзакций устанавливается автоматически. Без необходимости не рекомендуется изменять значения параметров, представленных на вкладке Interconnect Settings for BUSIF, которые установлены по умолчанию. Для того чтобы все модифицированные значения параметров настройки конфигурации IP-ядра AXI General Purpose IO вступили в силу, необходимо нажать кнопку OK, расположенную в нижней части диалоговой панели XPS Core Config (рис. 21, 25, 26). В качестве примера в состав формируемой спецификации MHS системы сбора и обработки данных включен экземпляр IP-ядра AXI General Purpose IO, в котором используется одноканальный вариант конфигурирования с 8‑разрядным портом ввода/вывода общего назначения.
Проверка и редактирование шинных соединений экземпляров IP-ядер в формируемой спецификации аппаратной платформы разрабатываемой микропроцессорной системы После закрытия «мастера» настройки добавляемого в спецификацию MHS IP-ядра XPS Core Config на экран выводится диалоговая панель Instantiate and Connect IP (рис. 27).
Рис. 26. Вид вкладки Interconnect Settings for BUSIF диалоговой панели «мастера» настройки ядра AXI General Purpose IO
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 27. Выбор способа подключения добавляемого экземпляра IP-ядра к микропроцессорному блоку
www.kite.ru
102
компоненты
ПЛИС
Рис. 28. Вид вкладки Bus Interfaces после включения экземпляра IP-ядра AXI General Purpose IO в спецификацию MHS
Открывшаяся диалоговая панель предназначена для выбора способа подключения добавляемого экземпляра периферийного IPядра к микропроцессорному блоку. В этой диалоговой панели есть две кнопки с зависимой фиксацией — Select processor instance to connect to и User will make necessary connections and settings, которые позволяют выбрать соответственно автоматический или «ручной» способ формирования соединений включаемого экземпляра IP-ядра и микропроцессорного блока. По умолчанию в нажатом состоянии зафиксирована кнопка Select processor instance to connect to, которая предоставляет возможность не только автоматически сформировать описание взаимосвязей сконфигурированного экземпляра IP-ядра и микропроцессорного блока, но и добавить при этом дополнительные компоненты, необходимые для их сопряжения. В частности, при включении в состав спецификации MHS разрабатываемой микропроцессорной системы экземпляров IP-ядер с интерфейсом AXI автоматически добавляется компонент AXI Interconnect, представляющий блок межсоединений этого интерфейса. В большинстве случаев рекомендуется воспользоваться автоматическим способом генерации описания соединений портов добавляемого экземпляра IP-ядра с портами микропроцессорного блока и внешними портами. Для этого достаточно в поле выбора, расположенном в центре диалоговой панели Instantiate and Connect IP, указать идентификатор экземпляра процессорного
блока, к которому подключается сгенерированный IP-компонент. При проектировании одноядерных микропроцессорных встраиваемых систем выпадающий список возможных значений этого поля выбора содержит единственный вариант processing_system7_0. После нажатия клавиши OK, находящейся в нижней части диалоговой панели Instantiate and Connect IP, сконфигурированный экземпляр IP-ядра автоматически добавляется в формируемую спецификацию аппаратной платформы разрабатываемой микропроцессорной системы. Чтобы убедиться в этом, следует открыть вкладку Bus Interfaces страницы System Assembly View основного окна средств проектирования Xilinx Platform Studio, которая после включения экземпляра IP-ядра AXI General Purpose IO приобретает вид, приведенный на рис. 28. В таблице, расположенной на этой вкладке, кроме экземпляра ядра процессорного блока processing_system7_0 появились компоненты axi_gpio_0 и axi_interconnect_1, которые представляют собой соответственно экземпляры IP-ядра AXI General Purpose IO и блока межсоединений интерфейса AXI. Компонент axi_interconnect_1, автоматически добавленный средствами Xilinx Platform Studio, предназначен для сопряжения процессорного блока и контроллера интерфейса портов ввода/вывода общего назначения. Информация обо всех соединениях экземпляров IP-ядер, включенных в состав создаваемой спецификации MHS, которые осуществляются посредством интерфейсных шин,
представлена в графической форме во встроенной панели, расположенной вдоль левой границы вкладки Bus Interfaces. В верхней части этой панели приводятся названия используемых шинных интерфейсов. Сведения о режиме подключения IP-компонентов к соответствующим шинам отображаются в этой панели с помощью системы условных обозначений, краткое описание которых содержится в нижней части вкладки Bus Interfaces. В более наглядном виде структура формируемой спецификации аппаратной платформы проектируемой встраиваемой системы воспроизводится на странице Graphical Design View основного окна средств Xilinx Platform Studio (рис. 29). На этой станице изображено схемотехническое представление архитектуры разрабатываемой микропроцессорной системы. После завершения автоматического формирования спецификации MHS проектируемой встраиваемой системы рекомендуется проконтролировать диапазоны адресного пространства, которые были выделены для каждого ее компонента средствами разработки Xilinx Platform Studio.
Определение диапазонов адресного пространства, выделяемых для компонентов спецификации MHS Для контроля распределения адресного пространства, выделенного различным компонентам спецификации аппаратной
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ПЛИС
компоненты
103
Рис. 29. Вид страницы Graphical Design View основного окна средств XPS
платформы разрабатываемой микропроцессорной системы, нужно открыть вкладку Addresses страницы System Assembly View основного окна средств проектирования Xilinx Platform Studio. На рис. 30 приведен вид этой вкладки для формируемой спецификации MHS системы сбора и обработки данных. Карта распределения адресного пространства проектируемой микропроцессорной системы, отображаемая на вкладке Addresses, представлена в форме таблицы, которая содержит 11 колонок — Instance, Base Name, Base Address, High Address, Size, Bus Interface(s), us Name, Address Type, IP Type, Lock и IP Version. Для определения состава видимых столбцов таблицы следует воспользоваться контекстно-зависимым всплывающим меню, открываемым щелчком правой кнопки мыши при расположении курсора в строке, содержащей названия колонок. В ячейках, образующих колонку Instance, приводятся идентификаторы экземпляров IP-ядер, входящих в состав формируемой спецификации аппаратной платформы проектируемой микропроцессорной системы. Ячейки, составляющие колонку Base Name, содержат идентификаторы параметров, значения которых определяют нижнюю границу диапазона адресного пространства, выделяемого для соответствующих компонентов спецификации MHS. В ячейках, расположенных в колонке Base Address, указываются значения базового адре-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
са компонентов, для которых выделяется адресное пространство. Для тех компонентов, которые не отмечены маркером в ячейках, образующих колонку Lock, каждая ячейка, относящаяся к столбцу Base Address, представляет собой поле редактирования значения соответствующего параметра. Поэтому для изменения значения базового адреса достаточно активизировать соответствующую ячейку столбца Base Address и ввести новое значение, используя клавиатуру. В ячейках, которые входят в состав колонки High Address, отображаются значения, определяющие верхнюю границу адресного пространства, предоставляемого для соответствующих компонентов. Эти значения вычисляются автоматически на основании базового адреса и размера выделяемого адресного пространства. В ячейках, относящихся к столбцу Size, указывается размер адресного пространства, которое выделяется для соответствующих компонентов спецификации аппаратной платформы. Для компонентов, которые не отмечены маркером в соответствующих ячейках колонки Lock, каждая ячейка столбца Size представляет собой поле выбора, в правой части которого расположена кнопка управления выпадающим списком допустимых значений этого параметра. Определение размера выделяемого адресного пространства для каждого периферийного компонента осуществляется путем выбора соответствующей строки в выпадающем списке. При этом следует помнить, что размер
диапазона адресного пространства, который резервируется для какого-либо компонента спецификации MHS, должен соответствовать допустимым значениям, указанным в документации для соответствующего IP-ядра. В ячейках, входящих в состав колонки Bus Interface(s), отображается название интерфейса, посредством которого соответствующий компонент спецификации MHS подключается к процессорному блоку. При этом название шины используемого интерфейса приводится в ячейке столбца Bus Name, расположенной в строке с названием этого компонента. Ячейки, составляющие колонку Address Type, содержат информацию о типе адресного пространства, выделяемого для компонентов спецификации аппаратной платформы разрабатываемой микропроцессорной системы. Адресному пространству памяти соответствует условное обозначение Memory, а адресам регистров — Register. В ячейках столбца IP Type приводятся идентификаторы IP-ядер, экземпляры которых включены в состав формируемой спецификации MHS. Сведения об используемых версиях этих ядер представлены в ячейках, входящих в состав колонки IP Version. Ячейки, образующие колонку Lock, содержат индикаторы состояния, позволяющие зафиксировать границы диапазонов, указанные в ячейках колонок Base Address и High Address, для выбранных компонентов формируемой спецификации MHS в процессе автоматического распределения адресного www.kite.ru
104
компоненты
ПЛИС
Рис. 30. Вкладка Addresses страницы System Assembly View основного окна средств XPS
пространства. При этом следует обратить внимание на то, что границы диапазонов адресов, зарезервированных для периферии процессорного блока PS, постоянно находятся в зафиксированном состоянии. Кнопка , находящаяся над правым верхним углом вкладки Addresses (рис. 30), предоставляет возможность запуска программы автоматического распределения адресного пространства для тех компонентов, которые не отмечены маркером в ячейках, образующих колонку Lock. После определения границ диапазонов адресного пространства для всех компонентов спецификации аппаратной платформы проектируемой микропроцессорной системы нужно перейти к следующему шагу — проверке и редактированию описания подключения портов этих компонентов.
Подготовка описания подключения внешних портов разрабатываемой микропроцессорной системы и компонентов, входящих в ее состав Вся необходимая информация о подключении внешних портов разрабатываемой микропроцессорной системы, а также портов компонентов, включенных в состав спецификации аппаратной платформы этой системы, представлена в таблице, расположенной на вкладке Ports страницы System Assembly View основного окна средств проектирования Xilinx Platform Studio. На рис. 31 показан вид этой вкладки для соз-
даваемой спецификации MHS системы сбора и обработки данных. Таблица, представленная на вкладке Ports, содержит 12 колонок — Name, Connected Port, Net, Direction, Range, Class, Frequency (Hz), Reset Polarity, Sensitivity, IP Type, IP Version и Differential Polarity. Управление составом колонок, отображаемых на экране, осуществляется с помощью контекстно-зависимого всплывающего меню, которое вызывается щелчком правой кнопки мыши при расположении курсора в строке названий столбцов. В ячейках, относящихся к столбцу Name, отображаются идентификаторы внешних портов проектируемой микропроцессорной системы, а также идентификаторы экземпляров компонентов, включенных в состав ее спецификации MHS, и подключаемых портов этих компонентов. Для внешних портов разрабатываемой встраиваемой системы ячейки этого столбца представляют собой поля редактирования. Первоначально в этих ячейках отображаются идентификаторы внешних портов, предлагаемые автоматически средствами проектирования Xilinx Platform Studio. Чтобы изменить идентификатор внешнего порта, назначенный автоматически средствами XPS, следует, прежде всего, активизировать соответствующую ячейку столбца Name, расположив на ней курсор и щелкнув левой кнопкой мыши. Затем, используя клавиатуру, нужно ввести текст идентификатора. Ячейки, расположенные в колонке Connected Port, содержат идентификаторы
портов компонентов, которые подключены к соответствующим портам, перечисленным в столбце Name. При этом используется следующий формат представления информации о подключенных портах: <идентификатор_экземпляра_компонента>::<идентификатор_ подключенного_порта>
Для изменения автоматически предлагаемого варианта подключения какого-либо порта нужно указать курсором соответствующую ячейку колонки Connected Port и щелкнуть левой кнопкой мыши, в результате чего на экране появляется всплывающая панель (рис. 32). В ее верхней части расположены два поля выбора, которые позволяют указать соответственно экземпляр компонента спецификации MHS и подключаемый порт этого компонента. Выпадающий список первого поля выбора содержит идентификаторы компонентов, включенных в состав формируемой спецификации аппаратной платформы. В выпадающем списке второго поля выбора содержатся идентификаторы портов выбранного экземпляра компонента. После закрытия всплывающей панели выбранные идентификаторы экземпляра компонента и порта отображаются в выделенной ячейке колонки Connected Port. В ячейках, образующих колонку Net, указывается название цепи, к которой подключается соответствующий порт. Каждая ячейка, расположенная в этой колонке, представляет собой поле выбора, выпадающий
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ПЛИС
компоненты
105
Рис. 31. Вкладка Ports страницы System Assembly View основного окна средств XPS
Рис. 32. Вид всплывающей панели выбора подключаемого порта
список которого содержит идентификаторы цепей, доступных для подключения выбранного порта, а также идентификаторы шин питания и «земли». Ячейки, составляющие колонку Direction, содержат информацию о типе порта (сигнала) в соответствии с направлением передачи данных. Если описываемый порт является входным, то в этой ячейке отображается символ I. Для обозначения выходного порта в ячейке Direction используется символ O. При описании подключения двунаправленного порта в этой ячейке отображается сочетание символов, соответствующих двум предыдущим типам порта (входному и выходному), — IO. Для внешних портов проектируемой микропроцессорной системы ячейки столбца Direction представляют собой поля выбора, позволяющие установить требуемый тип этих портов. Выпадающие списки этих полей выбора содержат условные обозначения трех типов портов, представленные выше. Ячейки, которые входят в состав колонки Range, используются для определения номеров разрядов внешних интерфейсных портов, которые представлены в форме векторов (массивов). Каждая ячейка, относящаяся к этой колонке, является полем редакти-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
рования. Для того чтобы указать значения начального и конечного индексов вектора (массива), необходимо активизировать соответствующую ячейку и воспользоваться клавиатурой. Вводимые значения начального и конечного индекса векторов разделяются двоеточием. В ячейках, которые расположены в колонке Class, указываются условные обозначения категорий, к которой относятся сигналы, ассоциируемые с описываемыми портами. Если сигнал, ассоциируемый с портом, соответствует группе сигналов синхронизации, то в относящейся к нему ячейке этого столбца содержится обозначение CLK. Для сигналов, относящихся к категории сигналов прерывания, используется условное обозначение INTERRUPT. Принадлежность к группе сигналов сброса фиксируется условным обозначением RST. Для всех остальных сигналов, не попадающих ни в одну из перечисленных категорий, в ячейках колонки Class используется обозначение None. Ячейки колонки Class, соответствующие внешним портам разрабатываемой микропроцессорной системы, представляют собой поля выбора, предназначенные для определения категорий сигналов, ассоциируемых с этими портами. Выпадающие списки этих полей выбора включают в себя четыре варианта условных обозначений — CLK, INTERRUPT, RST и None, о назначении которых мы уже сказали. Ячейки, входящие в состав колонки Frequency (Hz), позволяют указать значение частоты сигнала синхронизации, ассоцииру-
емого с соответствующим внешним портом. Для определения значения частоты внешнего тактового сигнала необходимо активизировать соответствующую ячейку этой колонки и воспользоваться клавиатурой. В ячейках, составляющих столбец Reset Polarity, для портов сигналов сброса приводится информация об активном уровне напряжения для этих портов. Каждая ячейка этой колонки для портов, ассоциированных с сигналами сброса, является полем выбора, предоставляющим возможность определения активного уровня сигналов для указанных портов. Выпадающий список этого поля выбора включает в себя два варианта — 0 и 1, которые соответствуют низкому и высокому логическому уровню напряжения. Колонка Sensitivity заполняется только для тех портов, с которыми ассоциированы сигналы прерывания. В ячейках этого столбца отображается условное обозначение активного уровня или фронта для сигнала прерывания, с которым ассоциируется описываемый порт. Возможны следующие четыре варианта условного обозначения активного события: • EDGE_FALLING соответствует порту, управляемому спадом сигнала. • EDGE_RISING соответствует порту, управляемому фронтом сигнала. • LEVEL_HIGH соответствует порту, для которого активным является высокий логический уровень сигнала. • LEVEL_LOW соответствует порту, для которого активным является низкий логический уровень сигнала. www.kite.ru
106
компоненты
В ячейках столбцов IP Type и IP Version отображается информация о типе и версии IP-ядер, к экземплярам которых относятся подключаемые порты. Ячейки, относящиеся к колонке Differential Polarity, позволяют указать полярность для внешних портов тактовых сигналов, представленных в дифференциальном виде. Эти ячейки для внешних портов, ассоциированных с сигналами синхронизации, представляют собой поля выбора полярности сигнала. Выпадающие списки этих полей выбора содержат два варианта — P и N, которые соответствуют положительной и отрицательной полярности сигналов дифференциальной пары. Вся информация о подключении портов в таблице, расположенной на вкладке Ports страницы System Assembly View, разбита на разделы, названия которых отображаются в колонке Name. Если в диалоговой панели Instantiate and Connect IP (рис. 27) был выбран автоматический способ подключения добавляемых экземпляров IP-ядер к микропроцессорному блоку, то все эти разделы уже заполнены. При необходимости автоматически сгенерированную информацию о соединениях портов проектируемой микропроцессорной системы разработчик может изменить вручную. В начале таблицы расположен раздел External Port, в котором приводятся сведения о подключении внешних портов разрабатываемой встраиваемой системы. Чтобы добавить описание порта в этот раздел, следует воспользоваться кнопкой , которая находится над правым верхним углом вкладки Ports (рис. 31). Эта кнопка предназначена для включения описаний внешних портов в состав спецификации аппаратной платформы проектируемой системы. При нажатии на эту кнопку на экране появляется диалоговая панель Connection Dialog (рис. 33).
Рис. 33. Вид диалоговой панели создания описания нового внешнего порта
Она позволяет определить все параметры создаваемого внешнего порта. В поле редактирования External Port Name после его активизации необходимо указать идентификатор нового внешнего порта, используя клавиатуру. Поле выбора значения параметра Direction позволяет определить тип создаваемого порта. Для этого следует нажать кнопку управления выпадающим списком, расположенную в правой части указанного поля вы-
ПЛИС
бора, и выбрать из предложенных вариантов тип, соответствующий направлению передачи данных через этот порт (I — входной, O — выходной или IO — двунаправленный). С помощью поля выбора значения параметра Class нужно указать категорию, к которой относится сигнал, ассоциируемый с создаваемым портом. Возможные варианты категорий были представлены выше. Если сигнал, ассоциируемый с портом, не принадлежит ни к одной из рассмотренных категорий, то в поле выбора Class следует оставить без изменений вариант None, который предлагается по умолчанию. Чтобы указать название цепи, к которой должен быть подключен новый внешний порт, нужно воспользоваться полем редактирования значения параметра Net Name. Идентификатор соответствующей цепи может быть непосредственно занесен в это поле (после его активизации) с помощью клавиатуры. После того как определены все параметры создаваемого порта, следует нажать кнопку ОК, расположенную в нижней части диалоговой панели Connection Dialog. Вслед за этим в разделе External Port появляется новая строка, содержащая описание нового внешнего порта. Если какой-либо внешний порт был ошибочно включен в спецификацию MHS, то для его удаления нужно воспользоваться командой Delete External Port из контекстно-зависимого всплывающего меню, открываемого щелчком правой кнопки мыши при расположении курсора на соответствующей строке раздела External Port. Названия всех последующих разделов таблицы, представленной на вкладке Ports страницы System Assembly View, совпадают с идентификаторами экземпляров IP-ядер, включенных в состав формируемой спецификации аппаратной платформы разрабатываемой микропроцессорной системы. Эти разделы содержат информацию о подключении портов соответствующих экземпляров IP-компонентов. Если необходимо изменить предлагаемый вариант подсоединения портов какого-либо компонента, то следует воспользоваться полем выбора, расположенным в соответствующей строке колонки Connected Port.
Проверка сформированной спецификации аппаратной платформы проектируемой системы После контроля и внесения необходимых изменений диапазонов адресного пространства, которое предоставляется различным экземплярам IP-ядер, входящих в состав создаваемой спецификации аппаратной платформы проектируемой системы, а также подключения портов этих компонентов можно перейти к заключительной фазе подготовки спецификации MHS. Следует выполнить проверку сформированной специ-
фикации с помощью инструментов автоматизированного контроля DRC (Design Rule Check) средств проектирования Xilinx Platform Studio. Для активизации этого процесса нужно воспользоваться командой Design Rule Check из всплывающего меню Project или кнопкой быстрого доступа , расположенной на оперативной панели управления средств XPS. Вся информация о результатах выполняемой проверки отображается в консольной области основного окна средств разработки Xilinx Platform Studio. Для просмотра сообщений об обнаруженных ошибках следует открыть страницу Errors консольной области. Чтобы ознакомиться с предупреждениями, сгенерированными средствами контроля DRC, нужно воспользоваться страницей Warnings консольной области. Для устранения обнаруженных ошибок следует открыть соответствующие вкладки страницы System Assembly View и внести необходимые изменения в таблице портов или адресов. Кроме того, можно непосредственно отредактировать спецификацию MHS в текстовом формате. Для этого нужно, прежде всего, открыть вкладку Project (рис. 34) рабочей области проекта основного окна средств проектирования Xilinx Platform Studio. На этой вкладке следует расположить курсор на строке MHS File, в которой отображается название файла спецификации аппаратной платформы разрабатываемой системы, и дважды щелкнуть левой кнопкой мыши. После этого откроется окно встроенного текстового редактора средств проектирования XPS, содержащее текст сгенерированной спецификации MHS (рис. 34). При необходимости с помощью клавиатуры в текст спецификации можно внести различные изменения, в том числе значений параметров, идентификаторов компонентов и цепей (сигналов). Для того чтобы выполненные изменения вступили в силу, следует сохранить файл MHS на жестком диске, выполнив команду Save из всплывающего меню File. После устранения обнаруженных ошибок и критических предупреждений необходимо повторно выполнить проверку созданной спецификации MHS. Этот процесс может потребовать несколько итераций до тех пор, пока в консольной области не появится сообщение об успешном завершении проверки сформированной спецификации аппаратной платформы. В качестве примера приведем полный текст спецификации MHS системы сбора и обработки данных, которая была автоматически сформирована после выполнения всех рассмотренных выше операций. Созданная спецификация аппаратной платформы должна выглядеть следующим образом. (Для большей информативности она дополнена комментариями, которые поясняют назначение разделов спецификации.)
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
ПЛИС
компоненты
107
Рис. 34. Отображение спецификации MHS в текстовом формате
# Номер версии спецификации MHS # PARAMETER VERSION = 2.1.0 # # Описание внешних портов проектируемой микропроцессорной системы # PORT processing_system7_0_MIO = processing_system7_0_MIO, DIR = IO, VEC = [53:0] PORT processing_system7_0_PS_SRSTB_pin = processing_system7_0_PS_SRSTB, DIR = I PORT processing_system7_0_PS_CLK_pin = processing_system7_0_PS_CLK, DIR = I, SIGIS = CLK PORT processing_system7_0_PS_PORB_pin = processing_system7_0_PS_PORB, DIR = I PORT processing_system7_0_DDR_Clk = processing_system7_0_DDR_Clk, DIR = IO, SIGIS = CLK PORT processing_system7_0_DDR_Clk_n = processing_system7_0_DDR_Clk_n, DIR = IO, SIGIS = CLK PORT processing_system7_0_DDR_CKE = processing_system7_0_DDR_CKE, DIR = IO PORT processing_system7_0_DDR_CS_n = processing_system7_0_DDR_CS_n, DIR = IO PORT processing_system7_0_DDR_RAS_n = processing_system7_0_DDR_RAS_n, DIR = IO PORT processing_system7_0_DDR_CAS_n = processing_system7_0_DDR_CAS_n, DIR = IO PORT processing_system7_0_DDR_WEB_pin = processing_system7_0_DDR_WEB, DIR = O PORT processing_system7_0_DDR_BankAddr = processing_system7_0_DDR_BankAddr, DIR = IO, VEC = [2:0] PORT processing_system7_0_DDR_Addr = processing_system7_0_DDR_Addr, DIR = IO, VEC = [14:0] PORT processing_system7_0_DDR_ODT = processing_system7_0_DDR_ODT, DIR = IO PORT processing_system7_0_DDR_DRSTB = processing_system7_0_DDR_DRSTB, DIR = IO, SIGIS = RST PORT processing_system7_0_DDR_DQ = processing_system7_0_DDR_DQ, DIR = IO, VEC = [31:0] PORT processing_system7_0_DDR_DM = processing_system7_0_DDR_DM, DIR = IO, VEC = [3:0] PORT processing_system7_0_DDR_DQS = processing_system7_0_DDR_DQS, DIR = IO, VEC = [3:0] PORT processing_system7_0_DDR_DQS_n = processing_system7_0_DDR_DQS_n, DIR = IO, VEC = [3:0] PORT processing_system7_0_DDR_VRN = processing_system7_0_DDR_VRN, DIR = IO PORT processing_system7_0_DDR_VRP = processing_system7_0_DDR_VRP, DIR = IO PORT axi_gpio_0_GPIO_IO_pin = axi_gpio_0_GPIO_IO, DIR = IO, VEC = [7:0] # # Описание параметров и подключения ядра микропроцессорного блока # BEGIN processing_system7 PARAMETER INSTANCE = processing_system7_0 PARAMETER HW_VER = 4.03.a PARAMETER C_DDR_RAM_HIGHADDR = 0x1FFFFFFF PARAMETER C_EN_EMIO_CAN0 = 0 PARAMETER C_EN_EMIO_CAN1 = 0 PARAMETER C_EN_EMIO_ENET0 = 0 PARAMETER C_EN_EMIO_ENET1 = 0 PARAMETER C_EN_EMIO_I2C0 = 0 PARAMETER C_EN_EMIO_I2C1 = 0 PARAMETER C_EN_EMIO_PJTAG = 0 PARAMETER C_EN_EMIO_SDIO0 = 0 PARAMETER C_EN_EMIO_CD_SDIO0 = 0 PARAMETER C_EN_EMIO_WP_SDIO0 = 0 PARAMETER C_EN_EMIO_SDIO1 = 0 PARAMETER C_EN_EMIO_CD_SDIO1 = 0 PARAMETER C_EN_EMIO_WP_SDIO1 = 0 PARAMETER C_EN_EMIO_SPI0 = 0 PARAMETER C_EN_EMIO_SPI1 = 0 PARAMETER C_EN_EMIO_SRAM_INT = 0
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
PARAMETER C_EN_EMIO_TRACE = 0 PARAMETER C_EN_EMIO_TTC0 = 1 PARAMETER C_EN_EMIO_TTC1 = 0 PARAMETER C_EN_EMIO_UART0 = 0 PARAMETER C_EN_EMIO_UART1 = 0 PARAMETER C_EN_EMIO_MODEM_UART0 = 0 PARAMETER C_EN_EMIO_MODEM_UART1 = 0 PARAMETER C_EN_EMIO_WDT = 0 PARAMETER C_EN_EMIO_GPIO = 0 PARAMETER C_EMIO_GPIO_WIDTH = 64 PARAMETER C_EN_QSPI = 1 PARAMETER C_EN_SMC = 0 PARAMETER C_EN_CAN0 = 0 PARAMETER C_EN_CAN1 = 0 PARAMETER C_EN_ENET0 = 1 PARAMETER C_EN_ENET1 = 0 PARAMETER C_EN_I2C0 = 0 PARAMETER C_EN_I2C1 = 0 PARAMETER C_EN_PJTAG = 0 PARAMETER C_EN_SDIO0 = 1 PARAMETER C_EN_SDIO1 = 0 PARAMETER C_EN_SPI0 = 0 PARAMETER C_EN_SPI1 = 0 PARAMETER C_EN_TRACE = 0 PARAMETER C_EN_TTC0 = 1 PARAMETER C_EN_TTC1 = 0 PARAMETER C_EN_UART0 = 0 PARAMETER C_EN_UART1 = 1 PARAMETER C_EN_MODEM_UART0 = 0 PARAMETER C_EN_MODEM_UART1 = 0 PARAMETER C_EN_USB0 = 1 PARAMETER C_EN_USB1 = 0 PARAMETER C_EN_WDT = 0 PARAMETER C_EN_DDR = 1 PARAMETER C_EN_GPIO = 1 PARAMETER C_FCLK_CLK0_FREQ = 100000000 PARAMETER C_FCLK_CLK1_FREQ = 142857132 PARAMETER C_FCLK_CLK2_FREQ = 50000000 PARAMETER C_FCLK_CLK3_FREQ = 50000000 PARAMETER C_USE_CR_FABRIC = 1 PARAMETER C_USE_M_AXI_GP0 = 1 BUS_INTERFACE M_AXI_GP0 = axi_interconnect_1 PORT MIO = processing_system7_0_MIO PORT PS_SRSTB = processing_system7_0_PS_SRSTB PORT PS_CLK = processing_system7_0_PS_CLK PORT PS_PORB = processing_system7_0_PS_PORB PORT DDR_Clk = processing_system7_0_DDR_Clk PORT DDR_Clk_n = processing_system7_0_DDR_Clk_n PORT DDR_CKE = processing_system7_0_DDR_CKE PORT DDR_CS_n = processing_system7_0_DDR_CS_n PORT DDR_RAS_n = processing_system7_0_DDR_RAS_n
www.kite.ru
компоненты
108
ПЛИС
PORT DDR_CAS_n = processing_system7_0_DDR_CAS_n PORT DDR_WEB = processing_system7_0_DDR_WEB PORT DDR_BankAddr = processing_system7_0_DDR_BankAddr PORT DDR_Addr = processing_system7_0_DDR_Addr PORT DDR_ODT = processing_system7_0_DDR_ODT PORT DDR_DRSTB = processing_system7_0_DDR_DRSTB PORT DDR_DQ = processing_system7_0_DDR_DQ PORT DDR_DM = processing_system7_0_DDR_DM PORT DDR_DQS = processing_system7_0_DDR_DQS PORT DDR_DQS_n = processing_system7_0_DDR_DQS_n PORT DDR_VRN = processing_system7_0_DDR_VRN PORT DDR_VRP = processing_system7_0_DDR_VRP PORT FCLK_CLK0 = processing_system7_0_FCLK_CLK0 PORT FCLK_RESET0_N = processing_system7_0_FCLK_RESET0_N PORT M_AXI_GP0_ACLK = processing_system7_0_FCLK_CLK0 END # # Описание параметров и подключения экземпляра ядра параллельного порта ввода/вывода # общего назначения # BEGIN axi_gpio PARAMETER INSTANCE = axi_gpio_0 PARAMETER HW_VER = 1.01.b PARAMETER C_GPIO_WIDTH = 8 PARAMETER C_BASEADDR = 0x41200000 PARAMETER C_HIGHADDR = 0x4120ffff BUS_INTERFACE S_AXI = axi_interconnect_1 PORT S_AXI_ACLK = processing_system7_0_FCLK_CLK0 PORT GPIO_IO = axi_gpio_0_GPIO_IO END # # Описание параметров и подключения экземпляра ядра шинного интерфейса AXI # BEGIN axi_interconnect PARAMETER INSTANCE = axi_interconnect_1 PARAMETER HW_VER = 1.06.a PARAMETER C_INTERCONNECT_CONNECTIVITY_MODE = 0 PORT INTERCONNECT_ACLK = processing_system7_0_FCLK_CLK0 PORT INTERCONNECT_ARESETN = processing_system7_0_FCLK_RESET0_N END
Схемотехническое представление приведенной аппаратной платформы системы сбора и обработки данных, отображаемое на странице Graphical Design View основного окна средств проектирования Xilinx Platform Studio, показано на рис. 35. Подготовив спецификацию MHS разрабатываемой встраиваемой микропроцессорной системы, можно приступить к выполнению этапов синтеза, размещения и трассировки в кристалле аппаратной части, конфигурируемой на базе ресурсов программируемой логики PL. Все перечисленные этапы выполняются в среде САПР серии Xilinx ISE Design Suite, поэтому можно закрыть основное окно средств разработки аппаратной платформы встраиваемых микропроцессорных систем Xilinx Platform Studio. Прежде чем приступить к выполнению этих этапов, необходимо создать модуль описания верхнего
новости
Рис. 35. Схемотехническое представление сформированной аппаратной платформы системы сбора и обработки данных
уровня иерархии для проекта разрабатываемой системы в среде САПР серии Xilinx ISE Design Suite. n Продолжение следует
Литература 15. Зотов В. MicroZed — семейство унифицированных модулей, предназначенных для отладки и реализации встраиваемых микропроцессорных систем, проектируемых на основе расширяемых вычислительных платформ фирмы Xilinx серии Zynq‑7000 AP SoC // Компоненты и технологии. 2013. № 11.
комутаторы
Коммутатор PDS‑102GO от Microsemi для подключения двух устройств стандарта PoE Корпорация Microsemi представила коммутатор PDS‑102GO, поддерживающий организацию питания стандарта IEEE 802.3at (Power over Ethernet) для наружных беспроводных ЛВС, IP-видеокамер и точек доступа. Коммутатор PDS‑102GO обеспечивает подключение двух PoE-устройств (мощностью до 30 Вт каждый) с основной сетью по каналу связи стандарта Gigabit Ethernet и обладает классом защиты от воздействий окружающей среды IP66 для установки вне помещений. Кроме того, устройство содержит функции дистанционного мониторинга и управления режимами коммутатора, включая возможность удаленного сброса. Свич расширяет дальность подключения внешних PoE-устройств
дополнительно на 100 м, то есть до 200 м, что является важным фактором для многих применений. Основные характеристики коммутатора PDS‑102GO: • три порта: один порт для подключения к ведущему устройству и два гнезда для подключения PoE-совместимых устройств; • поддержка функции удаленного управления по протоколу SNMP и веб-интерфейсу; • диапазон рабочих температур –40…+65 °C для стандарта 802.3af; • скорости передачи данных 10/100/1000 Мбит/с; • встроенная защита от перенапряжений. www.icquest.ru
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
110
новости
кварцевые генераторы
OX200‑SC — новый высокостабильный малошумящий термостатированный кварцевый генератор Стабильность частоты этого кварцевого генератора составляет ±1,5 ppb в диапазоне рабочих температур от 0 до +70 °C. Электронным управлением частотой (EFC) можно изменять частоту на ±0,2 ppm при варьировании напряжения в пределах 0–4 В. Старение составляет менее 0,2 ppb/день после 30 дней использования и 60 ppb в сумме после пяти лет работы. Стабильность частоты в зависимости от изменения напряжения питания (до 5%) или изменения нагрузки не превышает ±0,3 ppb. Новый кварцевый генератор имеет 10‑МГц LVCMOS-выход. Уровень фазовых шумов: • –85 дБ/Гц для отстройки 1 Гц; • –115 дБ/Гц для отстройки 10 Гц; • –135 дБ/Гц для отстройки 100 Гц; • –145 дБ/Гц для отстройки 1 кГц; • –150 дБ/Гц для отстройки 10 кГц. OX200‑SC изготавливается в стандартном 5‑контактном герметичном еврокорпусе CO‑8. Особенности OX200‑SC: • Высокостабильный термостатированный кварцевый генератор (OCXO) с возможностью электронного управления частотой (EFC). • Напряжение питания: 12 В DC.
• Размеры корпуса CO‑8 (В×Д×Ш): 13,46×36×27 мм. • Стабильность частоты: ±1,5 ppb. • Диапазон рабочих температур: от 0 до +70 °C. • Выход: LVCMOS. • Низкий уровень фазовых шумов и малый джиттер. • Соответствие RoHS Compliant/Lead Free. www.rssp.ru
Реклама
Connor Winfield, активно развивающаяся фирма c 50‑летним опытом производства высококачественных компонентов на основе кварца, предлагающая большой выбор кварцевых генераторов и модулей на их основе, выпустила новый высокостабильный малошумящий термостатированный кварцевый генератор — OX200‑SC. OX200‑SC является одним из самых точных, стабильных и малошумящих 10‑МГц термостатированных кварцевых генераторов компании Connor Winfield на данный момент. Он предназначен для использования в различных приложениях, которые требуют очень высокой стабильности частоты, высокой точности калибровки и малой степени старения. Эти параметры могут устранить необходимость в начальной и последующей калибровке либо значительно сократить время ее проведения. Один из примеров применения этого генератора — в IPсетях с использованием протокола синхронизации точного времени для сетевых измерительных систем и систем управления IEEE1588 PTP. OX200‑SC представляет собой термостатированный кварцевый генератор частотой 10 МГц с напряжением питания 12 В DC и возможностью электронного управления частотой (EFC).
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
микроконтроллеры
компоненты
111
SAMD — новая линейка микроконтроллеров с ядром ARM Cortex-M0+ компании Atmel
Дмитрий Сазанов sda@efo.ru
Введение Новая линейка микроконтроллеров SAMD компании Atmel постепенно расширяется. Если вначале она была представлена всего одним семейством, то сейчас их уже четыре, и они отличаются числом выводов, корпусами, составом и количеством периферии. Первое семейство SAMD20 не имело контроллера DMA, в новых он добавлен. Также в новых семействах появились контроллеры USB и I2S и расширен функционал других периферийных модулей. Линейка построена на недавно вышедшем ядре Cortex-M0+ компании ARM. Оно было создано в результате усовершенствования ядра ARM Cortex-M0, которое, в свою очередь, разработано на базе уже известного ядра ARM6‑M. Все перечисленные ядра имеют архитектуру фон Неймана и конкурентное энергопотребление на момент разработки. Cortex-M0+ — оптимизация Cortex-M0, наиболее компактного и энергоэффективного ядра в линейке компании ARM до выхода ядра M0+. M0 требует для реализации в минимальной конфигурации всего 12 тысяч транзисторов и потребляет 16 мкВт/МГц (1,2 В, 90LP). Для дальнейшего снижения энергопотребления в новом ядре заложен конвейер лишь на две инструкции. 1 По данным ARM для их ядер. 2 MPU — Memory Protection Unit. 3 M2M — интерфейс машины с машиной (Machine to Machine interface).
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Летом 2013 года компания Atmel анонсировала линейку SAMD на базе ядра ARM Cortex-M0+ с одним на тот момент ее представителем — SAMD20, быстро запустила кристалл в производство, а в феврале 2014 года уже сообщила об обновлении линейки тремя семействами: SAMD21, SAMD10 и SAMD11. Эти устройства ориентированы на приложения с батарейным питанием, критичные к энергопотреблению и не требующие высокой производительности вычислительного ядра или наличия специфической сложной периферии. SAMD имеет хорошие коммуникационные возможности, качественную аналоговую часть, контроллер сенсорного ввода, полный набор методик снижения потребления PicoPower, включая периферийную систему событий, технологию SleepWalking и продвинутую мультидоменную систему тактирования ядра и периферии. В статье рассмотрены особенности SAMD.
В результате кристалл Cortex-M0+ стал наиболее энергоэффективным в линейке ARM: его потребление составляет 9,8 мкВт/МГц (1,2 В, 90LP). Был сохранен минимальный размер (около 0,04 мм2 при техпроцессе 90LP), но производительность несколько уменьшилась: с 2,33 до 2,15 CoreMark/МГц1. Добавлен ряд опциональных модулей кристалла: модуль защиты памяти (MPU 2) и трассировщик на уровне инструкций. Также появилась возможность перемещения таблицы векторов прерываний. Важно то, что периферийные шины ARM Cortex-M0+ дают доступ к портам ввода/вывода за один такт ядра, что отличает Cortex-M0+ от других ядер ARM Cortex, включая M0. Многие разработчики до сих пор пользуются программным управлением портами, перейдя с микроконтроллеров AVR, MSP430 и PIC24 на новые линейки микроконтроллеров с ядром ARM. Этот фактор часто является ключевым требованием у разработчиков 8‑разрядных приложений.
Особенности линейки SAMD Малопотребляющие микроконтроллеры SAMD позиционируются как недорогие решения начального уровня и ориентированы на разнообразные задачи невысокой сложности. К ним относятся: • потребительская электроника; • игрушки;
• POS-терминалы; • медицинские приборы; • M2M3 приложения, например терминалы оплаты услуг по обмену данными со средствами управления, а не с человеком; • интеллектуальные средства измерения; • домашняя автоматика; • простые узлы сетей интеллектуального энергоснабжения, например счетчики электроэнергии; • интернет вещей. Новая линейка микроконтроллеров (табл. 1), разработанная на базе ядра Cortex-M0+, кроме энергоэффективного ядра имеет в своем составе малопотребляющую периферию, позаимствованную во многом у линеек AVR и SAM. В частности, система событий EventSystem и 12‑битТаблица 1. Сравнение характеристик семейств SAMD Параметр Максимальная частота, МГц SRAM, кбайт Flash, кбайт DMAC SERCOM EVSYS I2S USB TC TCC АЦП ЦАП Корпус
SAMD10
SAMD11
SAMD20
SAMD21
48 4 2–32 4–32 8, 16 16–256 32–256 6 каналов – 12 каналов 2/3/3 2/3/3 4/6/6 4/6/6 6 6 8 12 – – – + Full Speed Full Speed – – Device Host/Device 2/3/3 2 6/6/8 3/3/5 1 1 – 3 5/8/10×12 бит 20/14/10×12 бит 1×10 бит SOIC14/20, QFN24 QFP/QFN×32/48/64
www.kite.ru
компоненты
112
ный АЦП позаимствованы у линейки AVR XMEGA, а 10‑битный ЦАП — у SAM4L. В исполнении Atmel ARM-ядро развивает до 2,14 CoreMark/МГц при максимальной частоте в 48 МГц. Заявленное энергопотребление составляет до 145 мкА/МГц в активном состоянии при расчете алгоритма CoreMark и от 4 мкА в режиме энергосбережения с работающими часами реального времени и обновлением SRAM. Напряжение питания микроконтроллера варьируется в диапазоне от 1,62 до 3,63 В, что позволяет использовать дешевый линейный регулятор с напряжением 1,8 В ±10%. Следует отметить, что вся периферия работает в этом диапазоне напряжения без ограничений. SAMD20 В первом, появившемся летом 2013 года семействе SAMD20 компания Atmel реализовала интересную систему тактирования периферии и ядра, универсальные коммуникационные модули, продвинутый контроллер сенсорного ввода, поддерживающий до 256 сенсоров, и применила в полной мере свои фирменные методики снижения энергопотребления в рамках технологии PicoPower, особенности которой рассмотрим позже. Поскольку микроконтроллер не ориентирован на сложные задачи, то Flash-памяти и SRAM установлено сравнительно немного. Для коммуникаций можно задействовать один из шести модулей последовательного интерфейса SERCOM. Все они одинаковы и программно конфигурируются в типовые интерфейсы.
микроконтроллеры
Таким же образом производитель поступил и с таймерами/счетчиками: установил восемь одинаковых модулей 16‑битных T/C, с возможностями каскадирования в 32‑битные счетчики и генерации ШИМ-сигналов. Тактирование реализовано аналогично: восемь одинаковых преобразователей в рамках модуля контроллера тактирования с индивидуальными программно настраиваемыми делителями. К преобразователям подключается периферия, а источником тактирования для любого преобразователя может быть один из восьми источников: это пять различных генераторов и три дополнительных. Каждый из генераторов, преобразователей и каждого из потребителей можно отключить индивидуально. Аналоговая часть представлена 20‑канальным 12‑битным АЦП, 10‑битным ЦАП и аналоговыми компараторами. И АЦП, и ЦАП работают с частотой дискретизации в 350 тысяч отсчетов в секунду. Также АЦП поддерживает дифференциальное включение и имеет встроенный каскад предусиления сигнала. В качестве входа АЦП можно использовать не только внешние сигналы, но и внутренние: температуру, напряжение питания, выход ЦАП и др., а опорное напряжение может быть как внешним, так и внутренним, которое настраивается с разрешением в 4 бита. Микроконтроллеры семейства SAMD20 компания Atmel поместила в корпуса с небольшим количеством выводов. Выводы периферии можно гибко конфигурировать: до семи функций на вывод микросхемы, при
этом ряд выводов периферии дублирован и многие внешние пассивные компоненты реализованы внутри кристалла для упрощения разработки печатных плат. К примеру, для реализации емкостных сенсорных датчиков нет необходимости во внешних эталонных емкостях и других компонентах: они уже реализованы в чипе. Семейство представлено в корпусах QFP и QFN с 32, 48 и 64 выводами. В микроконтроллерах с малым количеством выводов некоторая периферия установлена в меньшем количестве (табл. 1). SAMD21 В начале 2014 года линейка микроконтроллеров на базе ядра Cortex-M0+ была расширена за счет трех новых семейств: SAMD10/11/21. Они получили в дополнение к технологиям SleepWalking и EventSystem контроллер DMA, а старшее семейство, SAMD21, блок-схема которого показана на рис. 1, получило контроллер I2S и контроллер USB с поддержкой FullSpeed, способный функционировать как в качестве хоста, так и устройства. Также три из восьми таймеров/счетчиков были обновлены для задач управления двигателями, освещением и подходят для других силовых применений: два из них стали 24‑битными, и все они имеют расширенные возможности ШИМсигнала (TCC). SAMD10/SAMD11 В рамках обновления линейки микроконтроллеров SAMD для задач, не требующих
Рис. 1. Блок-схема SAMD21
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
микроконтроллеры
компоненты
113
Рис. 2. Блок-схема универсального контроллера тактирования
большого количества выводов, периферии и критичных к стоимости, компания Atmel анонсировала упрощенные версии SAMD21: SAMD10 и SAMD11 (табл. 1). Эти семейства отличаются составом и количеством периферии и корпусами с небольшим количеством выводов: 14, 20 и 24.
Периферия В новых семействах линейки SAMD добавлена новая интересная периферия. Система тактирования Следуя своей идеологии PicoPower, компания Atmel реализовала многодоменную систему тактирования — контроллер тактирования GCLK. Это сложный и очень гибкий инструмент, в задачи которого входит генерация и распределение тактовых сигналов между периферией (рис. 2). Он состоит из ряда (до девяти) одинаковых, индивидуально отключаемых «генераторов» (GCLKGEN), в терминах Atmel. Чтобы избежать путаницы, в статье для этих генераторов на основе кристаллов и RC-цепей используется термин «генератор», а для блоков модуля контроллера тактирования с аббревиатурой GCLKGEN — термин «преобразователь». На вход преобразователей можно подключить до девяти источников сигнала. Это семь источников, находящихся в составе модуля системного контроллера SYSCTRL: • XOSC — генератор с внешним кристаллом: до 32 МГц; • XOSC32K — генератор с внешним кристаллом: до 32 кГц; • OSC8M — встроенный RC-генератор: до 8 МГц; • OSC32K — встроенный RC-генератор: 1 и 32 кГц; • OSCULP32K — встроенный RC-генератор с низким энергопотреблением: до 32 кГц; • DFLL48M — ФАПЧ: до 48 МГц; • FDPLL96M — ФАПЧ: до 96 МГц.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
И два дополнительных источника: • GCLKGEN[1] — преобразователь 1; • GCLK_IO[i] — вывод микросхемы i‑го преобразователя. Каждый из генераторов может быть отключен для снижения энергопотребления, кроме OSCULP32K — от него тактируется сторожевой таймер. Последний источник, FDPLL96M, предназначен для контроллера I2S и, соответственно, доступен только в SAMD21. В качестве дополнительного источника сигнала можно выбрать преобразователь 1 (GCLKGEN[1]) для всех преобразователей кроме самого себя, и каждый преобразователь может либо принимать сигнал в качестве источника, либо выдавать результат своей работы через свой индивидуальный внешний вывод микросхемы. Фактически каждый GCLKGEN представляет собой делитель частоты, с индивидуальным коэффициентом деления (число длиной от 5 до 16 бит), с возможностью выравнивания скважности сигнала до двух при нечетных значениях делителя. Роли и приоритеты почти у всех этих преобразователей одинаковы, кроме нулевого и первого. Первый преобразователь может быть источником тактирования для других, как говорилось ранее, а нулевой является источником опорной частоты (GCLKMAIN) для контроллера синхронного тактирования в составе менеджера питания, который, в свою очередь, является источником импульсов для синхронизированной периферии: ядра, шин данных и периферийных шин. Для всех трех периферийных шин можно выставить индивидуальный делитель частоты. Выход любого преобразователя через мультиплексор можно подать на вход периферии по принципу «один ко многим», то есть к одному преобразователю можно подключить более одного периферийного модуля, часто с дополнительными делителями уже в самом модуле. Из этого следует, что есть такие конфигурации преобразователей и мультиплексора тактовых сигналов, при
которых возможен одновременный, в одно действие, останов набора периферии при отключении преобразователя, от которого этот набор тактируется, что может оказаться полезным. Поскольку ядро и шины данных получают тактовый сигнал от нулевого преобразователя, а периферия может быть подключена к другим преобразователям, то она может работать асинхронно с ядром и периферийными шинами. Для обмена данными в таких случаях предусмотрены механизмы синхронизации данных и регистров при чтении и/или записи и механизм проверки завершения синхронизации. Все настройки модуля GCLK программно доступны во время работы контроллера: это включение, отключение и конфигурирование преобразователей, запуск и останов генераторов, управление мультиплексорами и др. Также в режимах энергосбережения у модуля есть возможность самостоятельного запуска генераторов и преобразователей по запросу периферии в рамках механизма SleepWalking, который будет рассмотрен далее. Универсальные коммуникационные модули Последовательные интерфейсы в линейке SAMD реализованы необычно. Вместо привычных отдельных модулей для каждого типа интерфейса компания Atmel применила до шести одинаковых универсальных коммуникационных модулей SERCOM в зависимости от корпуса микросхемы. Модули аппаратно поддерживают три последовательных протокола, переключение между которыми реализовано программно, «на лету»: • I2C/TWI/SMBus; • SPI; • UART/USART. На каждый модуль SERCOM отведено по четыре вывода микросхемы, через которые идет обмен данными во всех трех режимах. У каждого из этих выводов есть два варианта мультиплексирования, и они расwww.kite.ru
компоненты
114
микроконтроллеры
интерфейсов также есть надстройка Qtouch Composer для Atmel Studio, упрощающая процедуру разработки. К плюсам модуля PTC можно отнести следующие моменты: • большое количество сенсорных датчиков в матричном режиме; • высокая скорость регистрации касания; • большое расстояние регистрации приближений (15 см); • высокая помехозащищенность при отсутствии внешних компонентов и низкое энергопотребление.
а
Энергопотребление б
Рис. 3. Режимы работы PTC: а) Self Capacitance; б) Mutual Capacitance
пределены таким образом, что можно одновременно использовать все доступные модули SERCOM. Не задействованные в выбранном режиме работы выводы микросхемы можно использовать как GPIO или в качестве выходов другой периферии. Универсальность модулей и возможность выбора выводов микросхемы позволяют упростить трассировку печатной платы: нет необходимости вести дорожки на другую сторону микросхемы, например к выводам выделенного модуля SPI. Контроллер сенсорного ввода В новые микроконтроллеры Atmel впервые интегрировала расширенный контроллер емкостных сенсорных интерфейсов — PTC, разработанный компанией Quantum Research, которая принадлежит Atmel. Полностью аппаратный контроллер поддерживает как обычные кнопки, так и линейные и кольцевые слайдеры и способен регистрировать касания и приближения. Особенностью модуля является его способность работать в двух режимах: • классическом, с одним сенсором на вывод — Self Capacitance (рис. 3а); • матричном — Mutual Capacitance (рис. 3б). Для 64‑выводного корпуса в первом режиме максимальное количество датчиков — 16 штук; для второго режима — до 256 датчиков. В обоих случаях внешние компоненты не нужны, они реализованы на кристалле, а шумоподавление, компенсация паразитных
емкостей, подстройка коэффициента предусиления и калибровка сенсоров происходят внутри модуля практически без вмешательства разработчика. В самом аппаратном модуле PTC происходит регистрация касания с генерацией прерывания и/или события периферийной системы событий, которая будет рассмотрена позднее. Дальнейшая обработка сигнала и управление модулем PTC осуществляются благодаря библиотеке Qtouch Library, подключаемой к проекту. Доступная как надстройка для среды разработки Atmel Studio и как отдельная библиотека для компилятора IAR, она предоставляет полноценный API для клиентских приложений и берет на себя управление и конфигурирование модуля сенсорного ввода. Библиотека Qtouch Library, написанная «с нуля», вышла осенью 2013 года. В ближайших планах на 2014 год — добавление поддержки методик диагностики отказов FMEA для ответственных систем и поддержки датчиков приближения с небольшим расстоянием срабатывания и низким энергопотреблением. Производитель утверждает о малом потреблении и низкой нагрузке на ЦПУ: при периоде опроса десяти сенсорных датчиков в 50 мс затрачивается всего около 5% мощностей процессора, а при периоде в 200 мс — всего 8 мкА на один сенсор, что позволяет отказаться от механических кнопок в проектах с батарейным питанием. Для наглядного проектирования и отладки сенсорных
Новая линейка SAMD позиционируется как малопотребляющая, для батарейного питания. Согласно документации новые микроконтроллеры потребляют до 145 мкА/МГц в активном состоянии при расчете алгоритма CoreMark и от 4 мкА в режиме энергосбережения с работающими часами реального времени и при обновлении SRAM. Для снижения потребления в новом кристалле задействованы все методики технологии PicoPower: • несколько доменов тактирования; • баланс между скоростью переключения (производительностью) и малыми токами утечки (энергопотреблением) транзисторов; • поддержка низкого напряжения питания; • использование периферийной системы событий и прямого доступа к памяти; • технология SleepWalking. При использовании нескольких доменов тактирования есть возможность индивидуально снижать рабочую частоту периферии и отключать неиспользуемые модули, чем можно заметно снизить потребление энергии микроконтроллером. В SAMD этот функционал реализован благодаря наличию до девяти гибко конфигурируемых преобразователей тактовых частот в модуле GCLK. Низкое напряжение питания также благотворно сказывается на энергосбережении. Для SAMD минимальное рабочее напряжение составляет 1,62 В, что позволяет использовать дешевые линейные регуляторы на 1,8 В ±10%. При таких значениях у новой линейки работает вся периферия, включая аналоговую часть, EEPROM и Flash, в отличие от многих устройств конкурентов, имеющих ряд ограничений при сниженном напряжении питания. Микроконтроллер поддерживает четыре режима сна: три режима Idle и режим Standby (табл. 2). Выход из любого режима энергосбережения возможен по асинхронному прерыванию от периферии, способной его сгенерировать. Две технологии PicoPower требуют более подробного описания: они обеспечивают энергоэффективную схему работы периферии в энергосберегающих режимах.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
микроконтроллеры
Таблица 2. Энергосберегающие режимы SAMD
RUNSTDBY = 1
RUNSTDBY = 0
RUNSTDBY = 1
Основное тактирование
Режим регулятора питания
Режим SRAM
+
+
+
+
По запросу
По запросу
+
Нормальный
Нормальный
4
2 мА
–
–
+
+
+
По запросу
По запросу
+
Нормальный
Нормальный
12
1,45 мА
Idle 2
–
–
–
+
+
По запросу
По запросу
+
Нормальный
Нормальный
13
1,15 мА
Standby
–
–
–
–
+
–
По запросу
–
Экономичный
Экономичный
20
2,7–4,06 мкА
ONDEMAND = 1
Рассмотрим технологию SleepWalking и периферийную систему событий подробнее. Периферийная система событий (EVSYS) Модуль EVSYS позаимствован у линейки XMEGA и широко используется в новых семействах микроконтроллеров компании Atmel. Он позволяет без участия ядра микроконтроллера передавать так называемые «события» — дискретные сигналы от периферии к периферии, являющиеся не прерываниями, а отдельным механизмом общения периферии. Такими событиями являются, например, срабатывание логики сравнения в таймере/счетчике, окончание оцифровки сигнала АЦП, события календаря и RTC и многие другие. Эти события можно передать на другие периферийные модули и, например, посчитать счетчиком или запустить ими ЦАП, АЦП, передачу данных через DMA и др. В процессе передачи сигнала задействованы: • источник события; • канал события; • приемник события. Источником и приемником события является встроенная периферия: таймеры/счетчики, АЦП и др. Каждый из них может быть как в роли источника или приемника события, так и одновременно играть обе эти роли. Некоторая периферия выступает в роли сразу двух и более источников и/или приемников событий. Суммарно в старших микросхемах может быть до 73 источников и до 29 приемников событий, включая события модуля DMA, что позволяет начинать передачу данных через модуль прямого доступа к памяти. Основная задача канала события в модуле EVSYS — передавать сигналы от источников события к приемникам без участия вычислительного ядра. Мультиплексор каждого канала способен работать на принципе «один ко многим», что позволяет передавать события сразу нескольким пользователям, при этом гарантируется стабильность времени передачи события. В старших микроконтроллерах доступно до 12 таких каналов, в каждом из которых реализованы механизмы синхронизации асинхронных событий и возможность выбора для использования фронта или спада события. Канал также может генерировать прерывания и запрашивать
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Типовое потребление
RUNSTDBY = 0
–
Idle 1
ONDEMAND = 0
Время выхода из сна, мкс
APB clock
Idle 0
Режим
CPU clock
AHB clock
Генераторы
тактирование у привязанного к приемнику события преобразователя GCLKGEN, и только в одном режиме работы ему не нужно собственное тактирование. Но в этом режиме канал пассивен, и никакая статусная информация и генерация прерываний не доступны. Технология SleepWalking Эта технология позволяет с помощью специального механизма, реализованного в канале события системы EVSYS, запускать тактирование у спящей периферии при передаче ей события. Запрос направляется преобразователю GLCKGEN, сконфигурированному для данной периферии, который после этого при необходимости запускает нужный генератор. Они оба работают до тех пор, пока периферия этого требует, после чего тактирование отключается, либо периферия генерирует прерывание и будит весь микроконтроллер. Эти процессы происходят без вмешательства вычислительного ядра, оно может и дальше находиться в любом режиме энергосбережения. В функционировании этого механизма важную роль играют возможности нового контроллера тактирования GCLK. С помощью технологий SleepWalking и EVSYS можно реализовать различные схемы эффективной работы микроконтроллера
компоненты
115
в энергосберегающих режимах. А реализованный в новых семействах контроллер DMA позволяет реже будить ЦПУ. На рис. 4 схематично приведен пример реализации термометра с порогом в сравнении с классической схемой работы.
Отладочные средства Для работы со всей линейкой микроконтроллеров Atmel, включая SAMD, компания предлагает свою бесплатную интегрированную среду разработки Atmel Studio. Она ориентирована на полный цикл разработки и отладки приложений на языке C/C++ и языке ассемблера для 8‑ и 32‑битных микроконтроллеров Atmel AVR, беспроводных SoC Atmel SAMR и линеек микроконтроллеров SAM3, SAM4, SAMD и SAMG с ядром ARM Cortex-M. В состав среды включены следующие компоненты: • Atmel Software Framework (ASF). Большая библиотека драйверов встроенной периферии, коммуникационных стеков, графических функций и примеров использования с исходными кодами (сейчас библиотека содержит более 1600 примеров), что позволяет сфокусироваться на разработке приложения и сократить ее цикл. Для выбора нужных в проекте компонентов ASF используется надстройка ASF Explorer. • C/C++ компилятор GCC с поддержкой командной строки для микроконтроллеров с ядром AVR и ARM. • Дебаггер с расширенным функционалом, поддерживающий онлайн-просмотр переменных, сложные точки останова по совпадению данных, неинтрузивную отладку для линеек SAM3 и SAM4 (включая инструменты профилирования, трассировщик данных и обработчиков прерываний), а также трассировку данных для ARM Cortex-M0+. • Редактор с подсветкой синтаксиса.
Рис. 4. Пример работы SleepWalking и системы событий
www.kite.ru
компоненты
116
Рис. 5. Аппаратные средства отладки
• Extension Manager — менеджер расширений для Atmel Studio, реализующий доступ к онлайн-магазину Atmel Gallery и обновление установленных компонентов и расширений. • Atmel QTouch Composer — набор средств для разработки и отладки сенсорных интерфейсов. • Wireless Composer — средства для отладки беспроводных приложений. • Atmel Spaces — облачный защищенный сервис для обмена проектами с функционалом репозитория. Также доступна сборка ASF для среды разработки Embedded Workbench от IAR. В качестве аппаратных средств отладки для своих продуктов Atmel предлагает внутрисхемные отладчики ATJTAGICE3, ATMEL-ICE и AT91SAM-ICE (рис. 5). Первые два схожи по внешнему виду, но отличаются интерфейсами и поддерживаемыми устройствами. Так, минимальное поддерживаемое напряжение целевого контроллера у ATJTAGICE3 — 1,62 В против 1,8 В у ATMEL-ICE. Список интерфейсов для ATJTAGICE3 таков: JTAG, aWire, SPI и PDI. У ATMEL-ICE добавлены SWD
микроконтроллеры
Рис. 6. Оценочная плата ATSAMD20‑XPRO
и debugWIRE. ATJTAGICE3 поддерживает всю линейку 8‑битных микроконтроллеров Atmel, включая MEGA, XMEGA, tinyAVR, и часть 32‑битных МК: AVR UC3, SAMD и SAMR. В ATMEL-ICE добавлена поддержка остальных семейств на базе ядер ARM Cortex-M. Последний, AT91SAM-ICE, является клоном популярнейшего внутрисхемного отладчика J‑Link компании Segger. Для ускоренного освоения новых микроконтроллеров предлагаются новые недорогие оценочные наборы серии XPlained Pro на базе SAMD20 и SAMD21: ATSAMD20-XPRO и ATSAMD21-XPRO (рис. 6). Как и другие платы этой серии, они имеют реализованный на дополнительном микроконтроллере внутрисхемный отладчик на плате и опциональные модули расширения (рис. 7): • ATPROTO1‑XPRO — макетное поле; • ATIO1‑XPRO — плата с датчиками и microSD-слотом; • ATOLED1‑XPRO — плата с OLED-графическим индикатором; • ATQT1‑XPRO — набор емкостных датчиков; • ATEPD-XPRO — контроллер e‑ink дисплея;
• ATSLCD1‑XPRO — текстовый индикатор. Платы имеют прозрачную поддержку в Atmel Studio и содержат средства идентификации для среды разработки как базовых плат, так и опциональных, что облегчает первые этапы знакомства со средой и оценочными платами.
Заключение Компания Atmel провела большую работу по запуску новой линейки SAMD в серийное производство. В аппаратной части была переработана периферия 8‑ и 32‑битных контроллеров в плане энергопотребления, созданы совершенно новые модули тактирования, коммуникаций и впервые задействован контроллер сенсорного ввода PTC — продукт дочерней компании Quantum Research. Для поддержки этого нового модуля разработана сильно упрощающая создание сенсорных интерфейсов библиотека и надстройка Qtouch Library и Composer. В результате получился очень интересный и конкурентный продукт, энергоэффективный и удобный для разработчика. n
Рис. 7. Опциональные модули
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
118
компоненты
микроконтроллеры
Интеллектуальная интеграция: совмещение аналоговых компонентов с ядрами микроконтроллера ARM для преодоления трудностей при проектировании встраиваемых систем
Колин Дагган (Colin Duggan) Дэнис Лабрек (Denis Labrecque)
Интеллектуальная интеграция аналоговых и цифровых блоков Интеллектуальная интеграция высококачественных аналоговых компонентов (усилителей, АЦП, ЦАП, источников опорного напряжения, датчиков температуры, беспроводных приемопередатчиков и т. д.) и 32‑разрядных процессорных ядер компании ARM с подходящим набором цифровых периферийных модулей способна достигать целей, которые невозможно достичь при помощи дискретных решений. Для создания оптимального процессора обработки смешанных сигналов необходимо хорошо понимать систему в целом. Должны быть также доступны подходящие блоки интеллектуальной собственности (IP-модули), а также следует провести экспертизу в области их практического применения. Излишне говорить о том, что разработчики микросхем и системные инженеры, определя-
Жесткие требования к уменьшению стоимости, потребляемой мощности и габаритов, добавление новых функциональных возможностей, а также повышение точности и эффективности создают значительные трудности при проектировании встраиваемых систем будущих поколений. Один из способов решения этих проблем, недавно ставший доступным для разработчиков, заключается в интеллектуальной интеграции аналоговых компонентов с ядрами микроконтроллеров ARM. Различие между этим подходом и традиционной аналоговой интеграцией состоит в повышении достижимого уровня характеристик и оптимизации решения с учетом требований конкретной системы. Для каждой отдельной области применения имеются свои критерии оптимизации. Интеграция большого количества дискретных компонентов способствует выполнению комплекса критериев одновременно, что крайне желательно. Логично, что потенциально при совмещении компонентов можно решить многие из перечисленных задач, стоящих при проектировании встраиваемых систем. Однако простое размещение нескольких дискретных компонентов и процессора в одном корпусе не является ответом на вопрос. Настоящее решение гораздо сложнее и требует интеллектуальной интеграции.
ющие набор функциональных возможностей подобных интегрированных устройств, должны отлично понимать требования к конечному приложению. Этот фактор критичен. При этом подразумевается четкое представление о требованиях на уровне печатной платы: форм-фактор, диапазоны рабочих температур, аспекты технологии изготовления, потребление мощности, стоимость, необходимые дополнительные компоненты сигнальной цепочки и пр. На рис. 1 представлены аналоговые и цифровые IP-модули, которые часто применяются в устройствах с интеллектуальной интеграцией. Доступность подходящих IP-модулей является хорошей отправной точкой на пути к удовлетворению требований системы. Наличие такой отправной точки крайне важно для поддержания короткого цикла проектирования процессора обработки смешанных сигналов. Еще более важным фактором
является упрощение приобретения/создания и реализации самого IP-модуля производителем полупроводниковых компонентов. Этот IP-модуль затем необходимо модифицировать таким образом, чтобы он соответствовал двум частным требованиям. Первое заключается в максимизации выигрыша на уровне системы за счет оптимизации характеристик и рабочего режима в зависимости от потребностей основного целевого приложения, а второе — в оптимизации совместной работы IP-модуля с другими IPмодулями в составе процессора обработки смешанных сигналов. И наконец, должна существовать возможность взаимодействия на уровне компаний, что позволит совместить опыт и знания разработчика системы и производителя полупроводниковых компонентов. Это позволило бы получить оптимизированный, уникальный продукт.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
микроконтроллеры
компоненты
119
Области применения процессоров обработки смешанных сигналов Есть множество областей, где применение компонента, в котором интегрированы ядро микроконтроллера ARM и высококачественные аналоговые схемы, может давать ощутимые преимущества. К ним относятся измерение температуры и давления, детектирование газов, инверторы для солнечных батарей, управление электрическими двигателями, контроль основных показателей жизнедеятельности, системы контроля в автомобильной промышленности, а также счетчики газа, воды и электричества. Мы рассмотрим две области применения, в которых интеграция оптимизированных, высококачественных аналоговых схем и ядер микроконтроллера ARM обеспечивает значительный выигрыш в стоимости, мощности, габаритах и технических характеристиках: • Инверторы для фотоэлектрических солнечных панелей. Цели: повышение КПД, снижение общей стоимости компонентов и интеграция интеллектуальных функций для интерфейса с интеллектуальными энергосетями. • Управление электрическими двигателями. Цели: повышение КПД, снижение затрат и повышение экологичности. Несмотря на то, что интеллектуально интегрированные компоненты для обработки смешанных сигналов оптимизированы под конкретные конечные приложения, они также могут давать хорошие результаты при решении разнообразных смежных задач с близкими требованиями к функциональным возможностям.
Инверторы фотоэлектрических солнечных панелей Количество электрогенераторов на основе фотоэлектрических солнечных панелей в последние пять лет ежегодно увеличивается более чем на 50%, однако их доля в общем количестве генерируемой энергии во всем мире по-прежнему очень мала в процентном отношении. В некоторых регионах стоимость электроэнергии, генерируемой солнечными фотоэлектрическими панелями, сравнялась со стоимостью электроэнергии, генерируемой с использованием углеводородного топлива, однако в большинстве стран этого не наблюдается, а ценовое равенство, в общем случае, обуславливается государственным субсидированием. Для повышения конкурентоспособности фотоэлектрических панелей по сравнению с традиционными источниками энергии, включая природный газ, уголь и нефть, требуется уменьшить их стоимость. Наилучший способ достичь этого заключается в одновременном повышении КПД и уменьшении количества/стоимости компонентов системы. Стоимость и КПД самих панелей
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 1. Сочетание аналоговых и цифровых IP-модулей с оптимизацией с учетом требований конечных приложений
постоянно изменяются в правильном направлении, а новые технологии позволяют добиться прогресса в области инверторов фотоэлектрических панелей, которые обеспечивают интерфейс между солнечной панелью и энергосетью. К таким новым технологиям относятся, например, трехуровневые, пятиуровневые, многоуровневые топологии NPC (neutral point clamped) с высокой частотой коммутации, в которых используются быстродействующие силовые транзисторы на основе карбида кремния (SiC) и нитрида галлия (GaN). На рис. 2 изображена двухкаскадная система инвертора фотоэлектрической солнечной панели. Солнечные панели представляют собой источник постоянного напряжения, которое для передачи в энергосеть необходимо преобразовать в переменное напряжение. Первый каскад преобразования — это преобразователь постоянного напряжения, который повышает его уровень до значения, соответствующего пиковому напряжению энергосети. Второй каскад — это преобразователь постоянного напряжения в переменное. Область, выделенная на рисунке красным цветом, включает в себя низковольтные компоненты, которые используются для управления. Их объединение в один процессор обработки смешанных сигналов позволяет достичь выигрыша на системном уровне. Сокращение стоимости происходит за счет интеграции нескольких компонентов в одном устройстве, а также благодаря повышенному КПД этих новых топологий с высокой частотой коммутации. В результате обеспечивается уменьшение затрат на развертывание оборудования в пересчете на кВт. Дополнительное сокращение стоимости в новых топологиях, а также снижение общего размера инвертора достигаются благодаря
применению катушек индуктивности меньших габаритов. Для этого хорошо подходят быстродействующие аналого-цифровые преобразователи (АЦП) последовательного приближения, которые обеспечивают достаточный уровень точности (эффективная разрядность — 13 бит), малое время преобразования для поддержки высокоскоростных контуров управления, а также возможность мультиплексирования входных каналов и короткую задержку (<1 мкс). В рассматриваемой системе имеется два АЦП, что позволяет осуществлять одновременную выборку значений тока и напряжения сети. Для контроля множества точек в системе АЦП должны поддерживать работу с большим количеством входных каналов — до 24 в некоторых случаях. С учетом этого требования была разработана специальная схема мультиплексирования и буферизации входных сигналов АЦП. Для поддержки нескольких каскадов преобразования и высокоскоростных контуров управления необходимо процессорное ядро с подходящей архитектурой и высокой рабочей частотой. Процессорное ядро ARM Cortex-M4 работает с тактовой частотой более 200 МГц во всем допустимом диапазоне температур. Фильтры SINC, показанные на рис. 2, используются совместно с внешними АЦП с гальванической развязкой. Их применение позволяет избежать характерных для трансформаторов эффектов насыщения при измерении переменного тока сети, а также инжекцию постоянного тока. Традиционный метод заключается в применении датчика тока на основе эффекта Холла, однако они обладают существенно большей стоимостью по сравнению с АЦП с гальванической развязкой. Чтобы избежать увеличения числа www.kite.ru
120
компоненты
микроконтроллеры
Рис. 2. Блок-схема двухкаскадного инвертора фотоэлектрической солнечной панели. (Красным цветом выделены элементы, которые могут быть совмещены путем интеллектуальной интеграции)
необходимых компонентов, поступают следующим образом. Фильтры SINC реализуются не при помощи программируемой логики, а интегрированы в процессоре обработки смешанных сигналов. Комбинация фильтра SINC и АЦП с гальванической развязкой также обладает повышенной линейностью по сравнению с датчиками на основе эффекта Холла, что позволяет уменьшить гармонические искажения. По мере увеличения «интеллекта» энергосети от инверторов фотоэлектрических солнечных панелей также потребуется больший интеллект. Это позволит эффективнее справляться с дисбалансом нагрузки, возникающим, когда множество источников генерируют больше энергии, чем необходимо в отдельно взятый момент времени. Вследствие этого возрастает внимание к интеллектуальной начинке систем фотоэлектрических панелей, интегрируемых в энергосеть, для стабилизации которой отдельные источники должны взаимодействовать друг с другом. Для такой интеграции следует расширить возможности измерения, управления и анализа качества электроэнергии. Помогает решать такую задачу модуль анализа гармонических составляющих, который разработан специально для контроля качества электроэнергии, отдаваемой в энергосеть. Для этих
целей необходимо вычисление целого ряда параметров, включая уровень гармонических искажений, мощность, среднеквадратическое напряжение, среднеквадратический ток, реактивную мощность (VAR), полную мощность (VA), а также коэффициентов мощности. Специализированный модуль, выполняющий подобные вычисления, способен обеспечить очень высокую точность, высвобождая вычислительные мощности ядра ARM Cortex-M4. Применение процессоров обработки смешанных сигналов, спроектированных с учетом требований к инверторам солнечных панелей, способно дать значительные преимущества на системном уровне. Понимание тенденций рынка и глубокое знание принципов работы систем способствуют созданию продуктов с интеллектуальной интеграцией, которые обеспечивают сокращение числа компонентов при реализации топологий следующих поколений и добавление дополнительных возможностей для поддержки интерфейса с интеллектуальной энергосетью.
Управление электрическими двигателями Наряду с повышенным вниманием к экологичным способам генерации электроэнер-
гии возрастает и потребность в увеличении эффективности ее использования. С учетом того, что 40% используемого в мире электричества приходится на электрические двигатели, возникает вопрос: «Как сделать подобные системы более “экологичными”?» Ответ заключается в повышении их КПД, что позволит сократить количество используемой электроэнергии. Результат от широкого внедрения более эффективных двигателей измеряется числами с большим количеством нулей: экономия электричества в сотнях миллиардов кВт·ч и сокращение выбросов CO2 в атмосферу на миллионы тонн в год. Существует два фактора, побуждающих к применению более эффективных электродвигателей. Первый — это государственное законодательство в области защиты окружающей среды. Европейским Союзом уже приняты постановления, требующие использования более эффективных двигателей, и число их будет только расти. Другой ключевой фактор — это сокращение затрат в процессе эксплуатации. В общих затратах на систему управления электродвигателем стоимость материалов составляет приблизительно 15%, а 85% — это стоимость используемой для ее работы электроэнергии. Поэтому повышение КПД позволяет существенно снизить эксплуатационные затраты.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
микроконтроллеры
Увеличения КПД можно достичь путем применения специальных схем управления, выбором определенных типов двигателей, добавлением привода с регулируемой скоростью (adjustable speed drive, ASD) в системах, где такой тип управления не реализован, а также применением алгоритмов управления, оптимизирующих КПД. Что касается специальных архитектур и определенных типов двигателей, то в последнее время широкое распространение получили электродвигатели с постоянным магнитом. КПД таких двигателей может достигать 96%, что превышает требования высшего европейского стандарта энергоэффективности (IE3). Процессоры обработки смешанных сигналов с интеллектуальной интеграцией способны дать выигрыш при работе ASD и реализации алгоритмов управления. Экономичное решение, включающее в себя подсистему центрального процессора (ЦП) на базе ядра ARM, генераторы ШИМ, АЦП и средства мультиплексирования, в конечном счете трансформируется в снижение общего числа компонентов в системе. Усовершенствование алгоритмов управления может быть достигнуто путем использования прецизионных АЦП с коротким временем преобразования. Применение АЦП с эффективной разрядностью более 12 бит повышает потенциальную точность управления токами фаз. В то же время улучшать точность измерения путем увеличения задержки преобразования в этой задаче нельзя. То есть для повышения отношения сигнал/шум не следует применять усреднение результатов АЦП или работу в режиме с избыточной дискретизацией. Переменные необходимо измерять со скоростью, равной скорости движения конечного механизма (например, автоматического манипулятора). Короткое время преобразования в комбинации с высоким быстродействием ядра микроконтроллера ARM позволяет повысить скорость работы контура управления, при этом сокращается время установления и улучшается его переходный оклик. Это, в свою очередь, дает возможность повысить пропускную способность и эффективность производственного участка, обеспечивая снижение себестоимости продукции. Как и в случае с фотоэлектрическими солнечными панелями, для управления электрическими двигателями хорошо подходят АЦП последовательного приближения. В данном случае необходимо, чтобы АЦП обладал высокой точностью и соответствовал требованиям системы без применения усреднения или режима избыточной дискретизации. При проектировании различных IPмодулей, изображенных на рис. 3, уделялось особое внимание обеспечению хороших характеристик при их совместной работе. Результирующая измерительная система должна обладать повышенным быстродействием, быть способна собирать набор син-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
компоненты
121
Рис. 3. Блок-схема системы управления электрическим двигателем
Рис. 4. Временны′ е диаграммы для измерения пяти переменных параметров системы управления электрическим двигателем с использованием ADCC
хронизированных во времени отсчетов и эффективно пересылать их в память процессора ARM. При управлении электрическими двигателями выборку отсчетов фазных токов обмотки (phase-winding-currents) и других измеряемых параметров можно синхронизировать с конкретными моментами периода ШИМ. Полученные данные затем можно эффективно передать в память микропроцессора для последующей обработки без непроизводительных издержек. Для решения этой задачи требуется слаженная совместная работа пяти различных блоков процессора обработки смешанных сигналов. Цикл начинается с посылки импульса ШИМ в модуль маршрутизации сигналов запуска (trigger routing unit, TRU), назначение которого состоит в соединении выходов сигнала запуска ведущих устройств с входами сигнала запуска ведомых устройств. В данном случае ведущим устройством является генератор ШИМ, а ведомым — таймер контроллера АЦП (ADC controller, ADCC). ADCC должен поддерживать большое количество прерываний и использует таймеры (TMR0/TMR1) для отсчета интервала времени между поступлением сигнала от генератора ШИМ и активацией отдельно взятого прерывания АЦП. Когда значение таймера
совпадает с моментом инициации конкретного прерывания, подается сигнал управления мультиплексором входных каналов АЦП (M0 и M1) и производится выбор каналов АЦП 0 и 1 (ADC0 и ADC1). Затем на АЦП подается сигнал запуска преобразования. Результаты преобразования пересылаются из АЦП в ADCC, а оттуда при помощи контроллера прямого доступа к памяти (DMA) в статическую память (SRAM) микроконтроллера. На рис. 4 изображены временны′ е соотношения между импульсами генераторов ШИМ, сигналом синхронизации ШИМ и прерываниями АЦП, которые генерируются модулем ADCC. Для проектирования процессора обработки смешанных сигналов, ориентированного на управление электрическими двигателями, изначально существовала хорошая «отправная точка» в виде готовых IP-модулей генераторов ШИМ, модуля TRU, схем мультиплексирования и буферизации, АЦП последовательного приближения, а также контроллера DMA. Однако достижение уровня координации, необходимого для прецизионной синхронизации моментов выборки АЦП внутри периода сигнала ШИМ, потребовало определенной модификации этих блоков. www.kite.ru
компоненты
122
Потребность в блоке ADCC обусловлена тем, что остальные IP-блоки интегрированы на одном кристалле и их совместная работа должна быть координирована. Модуль ADCC позволяет извлекать максимальную выгоду от высокого быстродействия двух АЦП, которые имеют время преобразования, равное 380 нс.
Заключение Продвинутая базовая технология является лишь «отправной точкой»: разработчики кристаллов должны хорошо понимать принципы работы пользовательских систем и обладать большим опытом в области проектирования, практического применения и оптимизации прецизионных аналоговых и цифровых компонентов. Кроме того, про-
новости
микроконтроллеры
изводители полупроводниковых компонентов должны иметь возможность непосредственно взаимодействовать и сотрудничать с разработчиками систем и быть заинтересованы в этом при создании новых продуктов. Только после того как подходящие IPмодули выбраны, оптимизированы с учетом конечного приложения и модифицированы для хорошей совместной работы, можно приступать к их интеграции. Примерами подобных продуктов с интеллектуальной интеграцией являются компоненты компании Analog Devices: ADuCM360, полностью интегрированная 24‑разрядная система сбора данных с быстродействием 3,9 kSPS, а также процессоры обработки смешанных сигналов ADSP-CM403F и ADSP-CM408F, в которых интегрированы два прецизионных АЦП и ядро процессора ARM Cortex-M4. n
Литература 1. Murnane M. Robust Completely Isolated Current Sense Circuit with Isolated Power Supply for Solar Photovoltaic Converters. CN‑0280 Circuit Note — www.analog.com 2. Murnane M. Isolation Technology Helps Integrate Solar Photovoltaic Systems onto the Smart Grid // Analog Dialogue. 46–09. Sept. 2012. 3. O’Sullivan D., Sorensen J., Murray A. Motor Control Feedback Sample Timing Using the ADSPCM408 ADC Controller. AN‑1267 Application Note — www.analog.com 4. Melfi M. J., Evon S., McElveen R. Induction Versus Permanent Magnet Motors // Industry Applications Magazine, IEEE. Vol. 15. Issue 6. Nov.-Dec. 2009. 5. ADSP-CM402F/CM403F/CM407F/CM408F MixedSignal Control Processor with ARM Cortext-M4. Data Sheet. Sept. 2013 — www.analog.com
события
SEMICON Russia 2014 14 и 15 мая 2014 года в Москве в ЦВК «Экспоцентр» состоится главное ежегодное событие индустрии полупроводников и микроэлектроники — международный форум SEMICON Russia. Это целый комплекс мероприятий для специалистов: конференция по микроэлектронике, выставка, а также технологические и деловые семинары. Организуемая с 2008 года, выставка SEMICON Russia наглядно иллюстрирует прогресс российской индустрии полупроводников и микроэлектроники и по праву заслуживает репутацию ведущего делового мероприятия отрасли. Каждый год выставка SEMICON Russia и сопутствующие программы привлекают многочисленную аудиторию потребителей, разработчиков, инженеров и исследователей, заинтересованных в применении и развитии технологий микроэлектроники, таких как МЭМС, технологии корпусирования, А3B5-электроника, фотовольтаика и гибкая электроника. По прогнозам специалистов, по мере реализации государственной программы «Развитие электронной и радиоэлектронной промышленности на 2013– 2025 годы» значение SEMICON Russia будет увеличиваться. Мероприятия форума помогают отечественным предприятиям представить свои разработки и заключить контракты с крупными иностранными компаниями, тем самым обеспечив себе выход на глобальный рынок. В то же время зарубежные игроки получают возможность расширить свое присутствие на российском рынке, найти новых заказчиков и партнеров и в перспективе запустить совместные проекты с локальными предприятиями. Ожидается, что в 2014 году благодаря частным инвестициям в отрасль объем производства микроэлектроники вырастет до 30 млрд руб., а к 2025 году достигнет 45 млрд рублей. Форум SEMICON Russia получил признание у специалистов не только благодаря специализированной экспозиции, но и за счет высокопрофессиональной программы деловых и научно-технических семинаров. Традиционно первым мероприятием в программе SEMICON Russia является конференция по микроэлектронике, проходящая за день до открытия выставки. Ключевой темой конференции по-прежнему остается формирование конкурентоспособной микроэлектроники в России. Здесь обсуждаются следующие вопросы: расширение областей применения микроэлектроники, внедрение новых технологий и разработок, усиление кооперации между государством, наукой и бизнесом. В конференции принимают участие представители ведущих российских и зарубежных кластеров, промышленных предприятий, научно-исследовательских институтов, а также российские и международные эксперты. В 2014 году конференция будет проводиться в конгресс-центре «Технополиса Москвы». «Технополис Москва» — это новый российский центр инновационного производства, где созданы оптимальные условия для трансфера технологий. Развитие технополиса курирует Департамент науки,
промышленной политики и предпринимательства Правительства Москвы. Проект реализуется под личным контролем мэра города Сергея Собянина. Конференция по микроэлектронике состоится 13 мая 2014 года при поддержке международной аналитической компании Frost & Sullivan, которая с 2013 года выступает партнером мероприятия. Важной и неотъемлемой частью SEMICON Russia является специализированная площадка для проведения деловых и научно-технических семинаров — TechARENA SEMI, организованная в рамках выставочной экспозиции. Благодаря программе TechARENA SEMI специалисты получают самую актуальную информацию о новинках и изменениях в отрасли и тем самым постоянно повышают свою квалификацию. В первый день выставки, 14 мая 2014 г., на TechARENA SEMI состоится сессия по МЭМС, на которой с докладами выступят специалисты по МЭМСтехнологиям. Во второй день выставки, 15 мая 2014 г., здесь же пройдет сессия по новым технологиям корпусирования: 3D-корпусирование (встроенный кристалл, eWLB, проводные соединения кристаллов, PoP/PiP, 3D TSV), корпусирование на уровне полупроводниковых пластин (Fan-in WLP & Fan-out WLP), межуровневые соединения. Помимо технологического процесса, мероприятие также охватывает вопросы разработки, тестирования, надежности, моделирования, контроля и метрологии. В завершение программы мероприятий SEMICON Russia 15 мая на TechARENA SEMI пройдут презентации экспонентов. Посещение TechARENA является исключительной привилегией посетителей выставки SEMICON Russia, которые получают свободный доступ на все сопутствующие мероприятия. Более подробная информация о программе форума SEMICON Russia доступна на официальном сайте: www.semiconrussia.org. КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
компоненты
124
микроконтроллеры
Разработка проекта микроконтроллера 8051s на основе IP-ядер корпорации Microsemi. Часть 3. Первая программа для микроконтроллера
Дмитрий Иоффе support@actel.ru Андрей Максимов maksimov@actel.ru
Введение Для того чтобы в нашем устройстве заработала первая программа, мы должны сделать следующее: • написать программу; • откомпилировать ее; • поместить ее в программную память микроконтроллера; • провести моделирование работы программы; • откомпилировать проект ПЛИС; • «прошить» ПЛИС и проверить работу программы «в железе». Приступим.
Пишем программу Для начала определимся с инструментом. Для платформы 8051 существует много компиляторов разной степени доступности. Мы воспользуемся интегрированной средой разработки ПО для микропроцессоров SoftConsole корпорации Microsemi. Это бес-
Рис. 1. Окно выбора рабочего пространства
Это третья статья из цикла, посвященного применению микропроцессорного IP-ядра 8051s для ПЛИС фирмы Microsemi. В [1] было рассмотрено построение аппаратной части системы на основе 8051s с использованием IP-ядер, поставляемых в составе САПР Libero. В [2] приведено описание ядра 8051s для программиста. Теперь мы попробуем создать простейшую программу для 8051s и запустить ее.
платный пакет, он входит в состав САПР Libero любой версии. В него встроен уже упоминавшийся компилятор SDCC. Запустим SoftConsole. Отметим, что ее группа находится в меню Пуск отдельно от группы Libero. Сразу после первого запуска в окне Workspace Launcher нам предложат задать Workspace — рабочее пространство (рис. 1). Так называется папка, где будут храниться рабочие файлы SoftConsole. В принципе под рабочее пространство можно использовать любую папку. Но среда Libero в своем рабочем каталоге уже создала папку firmware. Удобно хранить в одном месте все файлы, относящиеся к проекту, поэтому укажем в окне Workspace Launcher именно эту папку. Впоследствии можно будет выбрать другое рабочее пространство через меню File → Switch → Workspace → Other. После определения рабочего пространства другие вопросы не будут заданы, и вскоре появится окно SoftConsole.
Теперь создадим новый проект. Из меню File пройдем по пунктам New → C project. Появится окно создания нового проекта (рис. 2). Флажок Use default location оставляем установленным, чтобы новые файлы проекта попадали в заданное нами рабочее пространство. Выбираем в поле Project Type тип проекта Executable (Managed Make) — Empty Project и в поле Toolchains — строку Microsemi Core8051s Tools. Придумываем нашему проекту имя, например TestLED, вводим его в поле Project Name и нажимаем кнопку Next. Появится окно Select Configuration (рис. 3), где нужно будет выбрать конфигурацию проекта. Здесь мы снимем флажок Debug, так как для нашей маленькой пробной программы отладка не понадобится, и нажмем кнопку Advanced Settings. Появится окно установ-
Рис. 2. Создание нового проекта
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
микроконтроллеры
компоненты
125
Рис. 3. Окно выбора конфигурации проекта SoftConsole
ки свойств нашего проекта Properties for TestLED (рис. 4). Найдем в левом поле этого окна строку C/C++ Build и наведем на нее указатель мыши. Слева от строки появится маленький треугольник. Щелкнем по нему левой кнопкой мыши и выберем из развернувшегося списка пункт Settings. В ответ нам будет предложено выбрать опции для компилятора и компоновщика (linker). Щелкнем в группе опций компилятора SDCC Compiler по строке Memory Options, и в правом поле появится группа настроек памяти (рис. 5). Выберем из выпадающего списка Memory Model пункт Small (--model-small). Тем самым мы зададим малую модель памяти, то есть переменные, для которых явно не указана область хранения, будут располагаться во внутреннем ОЗУ процессора. Это необходимо, так как в нашем учебном проекте внешнего ОЗУ просто нет. Затем найдем такую же строку Memory Options в группе опций компоновщика SDCC Linker и аналогично зададим малую модель памяти. После этого нажмем кнопку OK и вернемся в окно Select Configuration, а в нем нажмем на кнопку Finish. Мы снова работаем с главным окном SoftConsole. Теперь в левой части окна
Рис. 4. Окно установки свойств проекта SoftConsole
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 5. Установка опций памяти
SoftConsole, в окне Project Explorer, которое предназначено для навигации по проекту, появился значок папки с именем проекта. Прежде чем писать программу, нам необходимо запастись заголовочными файлами. Файл со смещениями адресов устройств шины APB уже есть: в среде Libero он расположен в упомянутой папке firmware. Его имя состоит из имени проекта и строки _hw_ platform, таким образом, для нашего проекта получилось System8051s_hw_platform.h. Осталось получить файл с определением регистров процессора reg51.h. Самый простой способ создать этот файл — скопировать через буфер обмена из руководства [3]. Однако во избежание возможных ошибок лучше затратить немного времени и загрузить с сайта корпорации Microsemi драйвер аппаратного уровня абстракции (HAL). Среди загруженных файлов будет и reg51.h. Вообще в рамках работы над нашими учебными проектами мы
не будем использовать фирменные драйверы устройств. Это позволит нам сэкономить дорогостоящую память программ, которую мы будем создавать без использования блочной памяти. Но впоследствии драйверы могут нам пригодиться, и сейчас мы посмотрим, как это делается. В состав Libero входит утилита Firmware Catalog. Ярлык для ее вызова есть в главном меню Windows в группе Libero. Запустим ее. Замечание (для тех, кто активно пользуется брандмауэрами (firewall)). Конечно, мы вправе запретить любой программе выход в Интернет. Но многие компоненты Libero и SoftConsole, как и других САПР, используют сетевые протоколы для взаимодействия между собой. Брандмауэр, конечно, контролирует и такие операции. Поэтому нужно внимательно читать его сообщения и разрешать обращения по локальным адресам, иначе ПО не будет нормально работать. Например, не будет работать справка
Рис. 6. Загрузка драйвера HAL
www.kite.ru
126
компоненты
SoftConsole. А программа Firmware Catalog просто не может обойтись без Интернета. При первом запуске Firmware Catalog подключится к Интернету и скачает большой список доступных на данный момент драйверов. Введем в поле Search буквы HAL, и в списке останутся только два драйвера, среди которых мы легко найдем нужный (рис. 6). Щелкнем мышью по строке Hardware Abstraction Layer (HAL), а затем по кнопке Download. Драйвер будет загружен, и его название будет выделено жирным шрифтом. Теперь нужно выполнить «генерацию» драйвера, то есть скопировать файлы драйвера в наш рабочий каталог. Нажмем теперь на кнопку Generate. Появится окно Generate Options (рис. 7).
микроконтроллеры
файл reg51.h. Закроем отчет, а затем и окно программы Firmware Catalog. Теперь можно переместить полученный файл в папку firmware, а папку HAL стереть, она нам в ближайшее время не понадобится. Теперь переходим к тексту программы. Пусть для начала это будет совсем простая программа, которая будет отслеживать положение микропереключателя и в соответствии с ним зажигать или гасить светодиод. Доступ к микропереключателю и светодиоду осуществляется через IP-ядро CoreGPIO. Создадим исходный текст проекта на языке C. Начнем с того, что выберем из главного меню окна SoftConsole пункт File и проследуем далее по пунктам New → Source File. Появится окно New Source File (рис. 10).
Компиляция программы Чтобы откомпилировать программу, нужно в SoftConsole вызвать пункт меню Project → Build All или нажать одновременно клавиши Ctrl и B. Если компиляция пройдет без ошибок, то в папке Release нашего проекта среди прочих файлов появится файл TestLED.ihx. Это и есть программа для нашего микроконтроллера в шестнадцатеричном коде (HEX-файл), которую мы должны загрузить в память программ.
Создание памяти программ В первой части нашей статьи мы создали временную заглушку вместо настоящего ПЗУ, чтобы проверить проект. Это была простая комбинационная схема, и 8051s работать с такой памятью не будет. Поэтому мы должны создать синхронную схему, которая выдает коды на шину данных по спаду импульсов тактовой частоты, а также обнуляет выходной регистр по сигналу сброса. То есть VHDL-код должен будет выглядеть примерно так:
Рис. 7. Окно опций генерации драйвера HAL Рис. 10. Окно New Source File
Нажмем в этом окне кнопку с тремя точками и укажем на ту же папку firmware, а затем нажмем на кнопку OK. Появится окно конфигурации драйвера HAL (рис. 8).
Рис. 8. Окно конфигурации драйвера HAL
Выберем из выпадающего списка в поле Processor строку 8051s, а в полях Software Tool Chain и Memory Model оставим соответственно SoftConsole и Small. Нажмем OK и посмотрим на отчет о генерации драйвера (рис. 9). Здесь мы видим, что в папке f irmware появилась папка HAL, а в ней в каталоге \Core8051s\SDCC\ лежит нужный нам
В поле Source Folder уже стоит выбранное нами имя проекта TestLED. Введем в поле Source File имя нового файла, обязательно с расширением — пусть это будет MainTestLED.c. Из выпадающего списка Template можно выбрать шаблон Default C source template или None. Нажимаем на кнопку Finish, и в центре окна SoftConsole появляется окно редактора с текстом нашей будущей программы. Введем в это окно следующий текст: #include "..\System8051s_hw_platform.h" #include "..\reg51.h" /*Обращение к шине APB:*/ #define APB_base 0xF000U //начало адресного пространства APB #define GPIO_addr APB_base + COREGPIO_0 //адрес блока GPIO /*Маски для обращения к линиям ввода/вывода:*/ #define jumper 0x01 //вход опроса переключателя #define LED_1 0x02 //первый светодиод __xdata at GPIO_addr + 0xA0 unsigned char dataout; __xdata at GPIO_addr + 0x90 unsigned char datain; unsigned char inreg; unsigned char outreg; void main () { outreg = 0x00; for (;;) { inreg = datain; if ((inreg & jumper) == 0) outreg = outreg & ~LED_1; else outreg = outreg | LED_1; dataout = outreg; } }
Рис. 9. Отчет о генерации драйвера
Чтобы определить смещение портов ввода (0x90) и вывода (0xA0) модуля GPIO, следует заглянуть в описание IP-ядра [4].
library IEEE; use IEEE.std_logic_1164.all; entity MyROM is port ( clock: in std_logic; -- active falling edge n_reset: in std_logic; -- active low ReadEnable: in std_logic; --active high Addr: in std_logic_vector(15 downto 0); DataOut: out std_logic_vector(7 downto 0) ); end MyROM; architecture MyROM_0 of MyROM is constant AddrSize : natural := 9; signal MemData: std_logic_vector(7 downto 0); -- std_logic_vector to decimal number conversion: function SLVtoNatural (SLV: std_logic_vector) return natural is variable result : natural; begin result := 0; for i in 0 to SLV'length - 1 loop if SLV(i) = '1' then result := result + 2**i; end if; end loop; return result; end SLVtoNatural; begin ROM_EVAL: process(Addr) variable MyAddr: std_logic_vector(AddrSize-1 downto 0); variable Index: natural; begin MyAddr := Addr(AddrSize-1 downto 0); Index := SLVtoNatural(MyAddr); case Index is when 0 => MemData <= x"02"; when 1 => MemData <= x"00"; … здесь в операторе case будут закодированы все ячейки памяти when 242 => MemData <= x"22"; when others => MemData <= "XXXXXXXX"; end case; end process ROM_EVAL; DataOut_CHANGE: process (n_reset, clock, MemData) begin if (n_reset = '0') then DataOut <= (others => '0'); elsif (falling_edge(clock)) then DataOut <= MemData; end if; end process DataOut_CHANGE; end MyROM_0;
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
микроконтроллеры
компоненты
127
SYSCLK : in std_logic; GPIO_IN : in std_logic; -- Outputs GPIO_OUT : out std_logic_vector(2 downto 1) ); end component;
Рис. 11. Окно конвертера HEX-файла в коде на VHDL
Осталось выяснить, как перевести наш шестнадцатеричный код, полученный после компиляции программы, в такой текст на VHDL. Формат HEX-файлов описан в [5]. Конечно же, вручную делать такое преобразование немыслимо. Но инженеру уместно владеть хоть одним языком программирования. Создание программы-конвертера в среде Delphi 2010 заняло около трех часов, включая поиск красивой иконки в Интернете. И теперь этот конвертер вместе с исходным кодом можно найти по адресу [6]. Отметим, что он может работать только с HEXфайлами intel-standart. Запустим конвертер (рис. 11). Порядок работы с конвертером следующий. Сначала мы нажимаем на кнопку Select HEX File и в открывшемся диалоговом окне указываем наш файл с расширением .IHX. Закрываем диалоговое окно. Конвертер может также преобразовывать файлы с расширением .HEX. Такой файл мы бы получили бы, если бы разрешили компилятору создать отладочную версию кода (Debug). Затем нажимаем на кнопку Set VHDL File и находим в открывшемся диалоговом окне папку HDL проекта Libero. Вводим имя файла VHDL, например MyROM, и закрываем диалоговое окно. Далее можно ограничить размер ПЗУ. Если наш IHX-файл не помещается в ПЗУ такого размера, то конвертер выдаст сообщение об ошибке. И наконец, нажимаем на кнопку Convert. Код модуля памяти на VHDL создан. При выходе конвертер запоминает имена входного и выходного файлов и размер ПЗУ, и при следующих запусках их можно не указывать. Теперь нам нужно вставить полученное ПЗУ в проект, который мы описали в первой части статьи. Открываем проект в Libero, находим старый модуль MyROM, щелкаем по нему правой кнопкой мыши и выбираем из выпавшего меню пункт Update Instance(s) with Latest Component. Старый MyROM заменяется на новый. Делаем следующие соединения: • вход clock модуля MyROM соединяем с системной тактовой частотой SYSCLK; • его же вход n_reset — с выходом PRESETN ядра 8051s. Отметим и запомним: после каждого изменения программы и, соответственно, новой компиляции следует заново проводить генерацию проекта Libero.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
И еще нам нужно исправить две ошибки, допущенные авторами в первой части статьи. Откроем окно конфигурации шины APB и внесем следующие изменения: • Из выпадающего списка Number of address bits driven by master выберем 12, так как 8051s по-другому работать не умеет. • Из списка Position in slave address of upper 4 bits of master address выберем [11:8]. И для тех, у кого такая же отладочная плата: в файле .PDC первая рабочая строка должна иметь вид set_io NSYSRESET-pinname T19. Теперь проверяем проект: в меню Libero SmartDesign выбираем пункт Check Rules Design. Если ошибок нет, то из меню SmartDesign выбираем Generate Design. Через некоторое время мы получим сообщение об успешной генерации проекта.
begin process variable vhdl_initial : BOOLEAN := TRUE; begin if ( vhdl_initial ) then NSYSRESET <= '0'; wait for ( SYSCLK_PERIOD * 200 ); NSYSRESET <= '1'; wait; end if; end process; SYSCLK <= not SYSCLK after (SYSCLK_PERIOD / 2.0 ); Jumper <= not Jumper after (SYSCLK_PERIOD * 1000 ); System8051s_0 : System8051s port map( NSYSRESET => NSYSRESET, SYSCLK => SYSCLK, GPIO_IN => Jumper, GPIO_OUT => open ); end behavioral;
Теперь нужно сообщить среде Libero, что мы хотим работать именно с этим тестбенчем. Выберем в главном меню Libero пункт Project → Settings и в появившемся окне настроек проекта щелкнем по закладке Simulation (рис. 12).
Моделирование Отлаживать нашу программу из нескольких строк в среде SoftConsole вряд ли полезно: весь текст хорошо виден, ошибки маловероятны. Гораздо интереснее моделировать наш проект в Modelsim, чтобы убедиться, что в маршруте проектирования нет ошибок и вся аппаратура, включая ПЗУ программ, работает правильно. Во время генерации проекта был автоматически создан файл testbench.vhd. Он находится в папке нашего проекта, в подпапке \component\work\System8051s. В нем на входы проекта подаются тактовая частота и сигнал сброса. Нам нужно проверить реакцию системы на движение микропереключателя. Для этого следует подать на вход GPIO_IN не логический ноль, как в исходном тестбенче, а некий нужный нам сигнал, назовем его jumper. Для предотвращения каких-либо неожиданностей создадим новый тестбенч и сохраним его в папке stimulus нашего проекта под другим именем, например tb_inout.vhd: library ieee; use ieee.std_logic_1164.all; entity tb_inout is end tb_inout; architecture behavioral of tb_inout is constant SYSCLK_PERIOD : time := 20.8333 ns; signal SYSCLK : std_logic := '0'; signal NSYSRESET : std_logic := '0'; signal Jumper : std_logic := '0'; component System8051s port( -- Inputs NSYSRESET : in std_logic;
Рис. 12. Установка параметров моделирования
Выберем в группе ModelSim Options пункт DO File, если этого еще не сделано. Установим в поле Simulation Runtime время моделирования 50 мкс (50us) и зададим в качестве входного наш файл tb_inout. Больше здесь пока ничего изменять не будем и нажмем кнопку OK. Затем в поле Design Explorer главного окна Libero щелкнем правой кнопкой мыши по имени проекта System8051s и выберем из выпавшего меню пункт Organize Stimulus. Откроется окно управления тестбенчами (рис. 13). Щелкнем левой кнопкой мыши по имени файла testbench.vhd в правом поле окна и удалим его оттуда, нажав на кнопку Remove. Затем прокрутим список в левой части окна www.kite.ru
компоненты
128
микроконтроллеры
Рис. 13. Окно Organize Stimulus
и найдем там наш файл tb_inout.vhd, щелкнем по нему мышью и нажмем на кнопку Add. Закроем окно, нажав на кнопку OK. Теперь можно начинать моделирование. Щелкнем правой кнопкой мыши по названию проекта в поле Design Explorer среды Libero и из выпавшего меню выберем пункт Run Pre-Synthesis Simulation. Запустится программа Modelsim, и через некоторое время, если не обнаружатся какие-либо ошибки, мы увидим ее окно с временной диаграммой, на которой отобразятся три сигнала, описанные в файле tb_inout.vhd. Работу с системой Modelsim мы здесь рассматривать не будем, это тема отдельной статьи или даже цикла. Опишем здесь только несколько действий, которые потребуется выполнить на начальном этапе, в рамках работы с нашим проектом. Добавим в задание для моделирования выходные сигналы проекта. В левой части окна Modelsim находится список различных элементов (Instances), имеющихся в проекте (рис. 14).
Рис. 15. Список объектов для моделирования
Найдем в этом списке выходы gpio_out и перетащим их мышью в правую часть окна Modelsim, в область отображения моделируемых сигналов Wave. И сразу, чтобы не забыть об этом второпях, сохраним полученный вид окна моделируемых сигналов для будущих сеансов работы. Он будет сохраняться в файле с расширением .do. Выберем из главного меню Modelsim пункт File → Save Format (он отображается только тогда, когда активна область Wave). По умолчанию Modelsim предлагает сохранить файл в папке Simulation нашего проекта. Это не очень удачный вариант, так как в случае непонятного поведения Modelsim, когда все вроде бы правильно, а модель не работает, обычно эту папку стирают со всем ее содержимым. Лучше сохранить файл прямо в папке проекта, под индивидуальным именем, например mywave.do (обязательно с расширением). Теперь перейдем к Libero и снова вызовем окно настроек проекта через меню Project → Settings, откроем закладку Simulation и в поле ModelSim Options выберем строку Waveforms (рис. 16). Установим флажок Include DO File, затем в поле Included DO File нажмем на кнопку с тремя точками и в открывшемся диалоговом окне укажем на наш файл mywave.do. Теперь нажмем на кнопку OK и закроем окно. При следующих запусках Modelsim из Libero мы увидим заданные нами временные диаграммы.
Рис. 16. Определение файла временных диаграмм для моделирования
Продолжим работу с Modelsim. Теперь нам нужно увидеть, как ведут себя выходы gpio_out. В верхней части окна Modelsim есть панель Simulate (рис. 17). Заменим в поле интервала моделирования установленное по умолчанию значение 100 пс на нужное нам, например 50 мкс. Затем щелкнем по кнопке Restart. (Она находится слева от этого поля, ее название появится в желтом ярлычке, если навести на кнопку указатель мыши.) Появится небольшое окно Restart. Сейчас мы не будем анализировать его содержание и просто нажмем кнопку OK. Затем нажмем на кнопку Run, она находится справа от поля с интервалом моделирования. После этого моделирование начнется сначала, и теперь, если все правильно, мы увидим переключение выхода GPIO_OUT [1] (рис. 18). Если нам нужно изменить участок отображения временной диаграммы, мы можем воспользоваться кнопками панели Zoom (рис. 19). Для просмотра части отображаемой временной диаграммы следует сделать в пределах этой части движение мышью слева направо (или справа налево) и вниз при нажатой средней кнопке.
Рис. 14. Список Instances Рис. 17. Панель Simulate
Щелкнем мышью по имени проекта System8051s_0. В средней части окна Modelsim отобразится список объектов верхнего уровня, поведение которых мы можем моделировать (рис. 15). Отметим, что, перемещаясь по дереву проекта, мы можем выбирать для моделирования сигналы из вложенных модулей, например MyROM или CoreGPIO. Правда, их поведение можно увидеть только при моделировании до синтеза.
Рис. 19. Панель Zoom
Рис. 18. Первый результат моделирования
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
микроконтроллеры
Рис. 20. Управление проектированием
Компиляция проекта и шаг назад Если моделирование прошло успешно, мы можем приступить к компиляции проекта. Закроем Modelsim и вернемся к Libero. В центральной части окна переключимся на закладку Project Flow (рис. 20). Нажмем на большую кнопку Synthesis. Тем самым мы запустим синтезатор Synplify. В появившемся окне Organize Constraints for Syntesis нажмем на OK, не вдаваясь пока в подробности. Запустится синтезатор. Рассматривать его в этой статье мы не будем: это тема отдельной статьи, как и Modelsim. Просто найдем в его левом верхнем углу большую кнопку Run и нажмем на нее. Название кнопки сменится на Cancel и, через какое-то время, снова на Run. Синтез окончен. Очень полезно просмотреть список сообщений синтезатора на закладке Messages в нижней части его окна. Там много предупреждений. Например, синтезатор сообщит, если списки чувствительности процессов VHDL не соответствуют реальному составу входных сигналов процесса. Это может привести к несовпадению результатов моделирования до и после синтеза. Закроем окно синтезатора. Вернувшись в Libero, мы увидим, что кнопка Synthesis в Project Flow стала зеленой. Значит, теперь можно нажимать на кнопку Place&Route. Сделаем это. Запустится компонент среды Libero — Designer. В небольшом окне Audit Status нам предложат выбор по умолчанию Re-Import All Source Files. Согласимся с этим выбором и нажмем на кнопку OK, а в следую-
129
Рис. 21. Окно Designer
щих двух окнах тоже нажмем OK. После этого мы увидим окно Designer (рис. 21). Нажмем на большую кнопку Compile, согласимся с предложением в небольшом окне (и дальше будем поступать аналогично, пока не научимся предлагать что-то лучшее) и подождем, пока кнопка Compile станет зеленой. Теперь нажмем на кнопку Layout, согласимся с предложенными Layout Options и дождемся окончания трассировки. Ждать придется некоторое время. Когда кнопка Layout, в свою очередь, станет зеленой, это будет означать, что мы можем приступать к генерации файла для программатора. Однако здесь нас подстерегает неприятный сюрприз. Все это время мы не задумывались о реальном быстродействии нашей ПЛИС. Среда Libero обошлась без наших указаний на этот счет, но отчет ее временнóго анализатора обязателен для просмотра. Нажмем в окне Designer на кнопку Timing Analyzer. Появится большое окно, в котором нам нужно найти таблицу Clock Details (рис. 22). Из этой таблицы следует, что наш проект может работать на тактовой частоте до 22,372 МГц. А тактовый генератор у нас на отладочной плате работает на частоте 48 МГц, что в два с лишним раза больше. Можно было обнаружить это и раньше, еще работая над тем, что описано в первой части статьи. Но там при другом стечении обстоятельств мы могли бы случайно получить даже небольшой запас по частоте, который мог исчезнуть после компиляции проекта с окончательной версией памяти программ.
Рис. 22. Таблица Clock Details из отчета временного анализатора
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
компоненты
Самый простой выход из положения в нашем случае — поделить входную тактовую частоту на четыре и тактировать нашу систему результатом деления. Использовать в качестве делителя модуль Counter из каталога Libero не получится: ему нужен сброс, а сигнал сброса у нас один, при этом остальная часть проекта должна получать свой сигнал сброса при уже работающей тактовой частоте. Поэтому напишем на языке описания аппаратуры небольшой модуль и назовем его, например, clock_start: library IEEE; use IEEE.std_logic_1164.all; entity clock_start is port ( clock: in std_logic; n_reset: in std_logic; outclock: out std_logic; outnreset: out std_logic ); end clock_start; architecture clock_start_0 of clock_start is constant module: natural := 4; signal divider: natural range 0 to module - 1; constant reset_time: natural := 40; signal reset_counter: natural range 0 to reset_time - 1; begin outclock_l: process(clock, n_reset) begin if n_reset = '0' then divider <= 0; elsif rising_edge(clock) then if divider = module - 1 then divider <= 0; else divider <= divider + 1; end if; if divider < 2 then outclock <= '0'; else outclock <= '1'; end if; end if; end process outclock_l; outnreset_l: process(clock, n_reset) begin if n_reset = '0' then reset_counter <= 0; elsif rising_edge(clock) then if reset_counter /= reset_time - 1 then reset_counter <= reset_ counter + 1; end if; if reset_counter = reset_time - 1 then outnreset <= '1'; else outnreset <= '0'; end if; end if; end process outnreset_l; end clock_start_0;
Этот модуль нужно положить в папку hdl нашего проекта. После этого он появится в поле Design Explorer. Щелкнем www.kite.ru
компоненты
130
микроконтроллеры
по нему правой кнопкой мыши и выберем из выпавшего меню пункт Instantiate in System8051s. После этого новый модуль появится на холсте. Отметим, что он может появиться в неожиданном месте, например, за границами видимой части экрана. В этом случае нужно разыскать на панели инструментов Libero кнопку Zoom Fit (по всплывающей желтой подсказке) и нажать на нее. После этого мы увидим весь экран, и можно будет перетащить новый модуль поближе к остальной части проекта и вернуть прежний масштаб. Теперь подключим clock_start к нашему проекту. Найдем на краю холста порт SYSCLK, щелкнем правой кнопкой мыши по цепи, соединяющей его со схемой (она должна при этом изменить цвет), и выберем из выпавшего меню пункт Delete Net. Затем соединим освободившийся порт с входом clock модуля clock_start, а выход outclock этого модуля — с тактовыми входами всех остальных компонентов проекта. Соединения делаем так, как описано в [1]. После этого аналогично разорвем связь между портом NSYSRESET и одноименным входом ядра 8051s, затем соединим этот вход с выходом outnreset модуля clockstart, а вход n_reset этого модуля — с портом NSYSRESET. Далее проверяем наш проект на отсутствие ошибок и снова выполняем полную компиляцию. Полезно повторить моделирование, увеличив его время в четыре раза. Потом в окне Designer нажимаем кнопку Programming File. Дожидаемся, пока эта кнопка станет зеленой, и закрываем окно Designer. Файл с расширением .pdb для программатора получен.
Программирование ПЛИС и проверка работы Настало время подключить программатор (рис. 23) и отправить нашу прошивку в ПЛИС. На отладочных платах программатор встроен в саму плату, поэтому последнюю следует просто подключить кабелем к USB-порту компьютера. Для обычных схем нужно использовать автономный программатор Silicon Sculptor или внутрисхемный программатор FlashPro. Относительно программирующего модуля среды Libero встроенный программатор отладочной платы ничем не отличается от FlashPro. Подключаем нашу отладочную плату к компьютеру и подаем на нее питание, затем нажимаем левую кнопку Programming в поле Project Flow — ту, на которой написано Flash Pro. Откроется окно FlashPro. На встроенном программаторе некоторое время будет мигать желтый светодиод, как произошло бы и с Flash Pro. По окончании программирования снимем питание с платы и вновь подадим его. Может быть, в нашем случае это и не нужно, но привыкнуть к этому стоит. Теперь возьмем подходящий тонкий предмет и начнем изменять положение микропереключателя. Мы увидим, как зажигается и гаснет наш светодиод. Конечно, для этого есть более простые способы, но зато как многому мы научились!
новости
Рис. 23. Окно управления программатором
Выводы В третьей части статьи мы написали простейшую программу для микропроцессорного ядра 8051s корпорации Microsemi и проверили ее работу на реальной плате. Предлагаемый порядок действий при работе с программой получился такой: • редактируем текст программы; • компилируем его, получая файл .IHX (.HEX); • обновляем модуль памяти, созданный на языке VHDL, при помощи специального конвертера; • генерируем проект Libero заново. После этого можно моделировать проект Libero или компилировать его для получения файла прошивки .PDB. Файлы учебного проекта можно найти в Интернете по адресу [7]. В следующей части статьи мы расширим наш проект: добавим в него работу по прерываниям и задействуем сторожевой таймер. n
Литература 1. Иоффе Д., Максимов А. Разработка проекта микроконтроллера 8051s на основе IP-ядер корпорации Microsemi // Компоненты и технологии. 2014. № 1. 2. Иоффе Д., Максимов А. Разработка проекта микроконтроллера 8051s на основе IP-ядер корпорации Microsemi. Ч. 2. IP-ядро 8051s для программиста // Компоненты и технологии. 2014. № 3. 3. Core8051s v2.4 Handbook. www.microsemi.com 4. CoreGPIO v3.0 Handbook. www.microsemi.com 5. Intel HEX. http://ru.wikipedia.org/wiki/Intel_HEX 6. Конвертер HEX-файла в код на VHDL. http://www.actel.ru/catalog/HexToVHDL.zip 7. http://www.actel.ru/catalog/8051s‑2.zip
рынок
НПК «Фотоника» — дистрибьютор greateyes
НПК «Фотоника» подписала эксклюзивное дистрибьюторское соглашение с немецкой компанией
greateyes, которая занимается производством камер на базе высокочувствительных ПЗС-сенсоров e2v. Для охлаждения этих камер используются трех- и четырехступенчатый элемент Пельтье. Основные области применения камер greateyes: • Регистрация флуоресцентных процессов. • Изучение живых клеток. • Хемилюминесценция. • Электрохемилюминесценция. • Регистрация рентгеновского излучения.
• Спектроскопия плазмы. • Лазерно-искровая индукционная спектроскопия. • Рамановская спектроскопия (комбинационное рассеивание). • Атомно-абсорбционная спектроскопия. • Эмиссионная спектроскопия. • Отражательная спектроскопия. • Рентгеновская спектроскопия. www.npk-photonica.ru КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
132
новости
инверторы
Инверторы ISI‑501 c синусоидальным выходным напряжением для солнечных электростанций ленной сети переменного тока или ее параметры не соответствуют норме, но имеется возможность установить солнечные панели. Краткие технические характеристики: • Мощность зарядного устройства от солнечных панелей: 500 Вт. • Частота выходного напряжения: (50/60 ±0,1) Гц. • КПД контроллера MPPT: 98% (тип.). • Коэффициент нелинейных искажений выходного напряжения: <3%. • Стабилизация выходного напряжения: ±3%. • Высокая перегрузочная способность: до 1000 Вт (30 периодов). • Диапазоны температур: – рабочий (100%-ная нагрузка): –20…+40 °C; – рабочий (50%-ная нагрузка): –20…+60 °C; – хранение: –30…+70 °C. • Габариты: 205×158×67 мм. Стандартные функции: • Светодиодный индикатор режима работы и состояния аккумулятора. • Релейные контакты сигнализации пониженного напряжения аккумулятора.
• Дистанционное управление вкл./выкл. • Защита от: – пониженного напряжения аккумулятора с сигналом тревоги; – превышения напряжения аккумулятора; – неправильной полярности подключения аккумулятора; – короткого замыкания и перегрузки на выходе; – перегрева. • Соответствие стандартам FCC/CE. www.eltech.spb.ru
Реклама
Компания Mean Well начала производство инверторов серии ISI‑501, предназначенных для преобразования входного постоянного напряжения 12, 24 или 48 В в переменное синусоидальное. Необходимое выходное напряжение и частоту устанавливают с помощью кнопки на передней панели. Инверторы имеют встроенный контроллер солнечной батареи со слежением за точкой максимальной мощности (Maximum Power Point Tracking, MPPT). Это повышает эффективность ее использования на 10–30% по сравнению с контроллерами on/off и PWM. Инверторы выдерживают кратковременные перегрузки 110% в течение 1 мин. и 200% в течение 30 периодов выходного напряжения (600 мс для 50 Гц). Добавив внешние свинцово‑кислотные аккумуляторы и солнечные батареи, можно получить автономную электростанцию, соответствующую тенденции энергосбережения. Инверторы можно использовать с бытовыми электроприборами, светильниками, портативным или переносным оборудованием, в автофургонах или удаленных местах, где невозможно подключение к промыш-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
силовая электроника
134
Силовые модули Vishay и их применение В 2007 году компания Vishay приобрела у Internatinal Rectifier бóльшую часть ее полупроводникового бизнеса, в том числе производство силовых полупроводниковых приборов и силовых модулей. Сейчас Vishay является одним из крупнейших в мире производителей дискретных полупроводниковых приборов и пассивных компонентов.
Алексей Попов Сергей Попов
П
ри проектировании входного сетевого выпрямителя мощностью от единиц до нескольких сотен киловатт основными факторами, определяющими выбор силовых компонентов, являются: • количество фаз питающей сети; • номинальное сетевое напряжение; • требуемая мощность нагрузки; • требуемая стойкость к перегрузкам; • требования регулирования выходного напряжения и/или тока. Соответственно, можно выбрать между применением дискретных силовых диодов и тиристоров или силовых модулей в составе одно- (двух-) или же трехфазных неуправляемых, полууправляемых или полностью управляемых выпрямителей, выполняемых в большинстве случаев по мостовой схеме. Применение силовых модулей обеспечивает следующие преимущества: • Широкий выбор типоразмеров корпусов. • Широкий выбор конфигураций (внутренних электрических схем) модулей. • Наличие диодных, тиристорных и диоднотиристорных модулей. • В большинстве линеек доступны модули с допустимым напряжением до 1600 В. (Отдельные серии содержат модули с напряжением до 2000 В и даже до 3000 В.) • Исключительная стойкость к перегрузкам и перенапряжениям.
• Медное основание модуля электрически изолировано от токоведущих цепей, что позволяет монтировать несколько модулей на общий теплоотвод. • Высокая электрическая прочность изоляции. • Весьма малое и стабильное тепловое сопротивление: от силовых чипов до теплоотводящего основания. • Соответствие требованиям директивы по охране окружающей среды RoHS и стандартам безопасности UL. Расширенная классификация силовых выпрямительных модулей, предназначенных для работы на сетевой частоте, которые выпускает Vishay, представлена в таблице 1. Эти модули предназначены для построения входных (сетевых) выпрямителей в составе источников бесперебойного питания (ИБП), промышленных сварочных преобразователей, электроприводов, импульсных источников электропитания и других силовых преобразовательных установок. Параметры серий модулей в корпусе AddA‑Pak приведены в таблице 2, а варианты электрических схем модулей и их условные обозначения — в таблице 3. Модули в корпусе Add-A‑Pak выполняются по одной из разновидностей технологии DCB bonded с закреплением чипов на теплопроводящем изолированном основании и с разваркой
Таблица 1. Диапазоны характеристик силовых диодных, тиристорных и диодно-тиристорных модулей Vishay Тип корпуса
Add-A-Pak
Int-A-Pak
MAP Block
Magn-A-Pak
Super Magn-A-Pak
Внешний вид
Диапазон значений номинального тока диодных модулей, А
40–105
160–236
Не выпускаются
250–320
300–600
Диапазон значений номинального тока тиристорных модулей, А
25–105
135–160
До 500
120–320
430–570
Диапазон значений максимально допустимого повторяющегося обратного напряжения, В
400–1600
До 1600
До 1600
До 3000
До 2000
Примечание. Максимально допустимая рабочая температура переходов у силовых диодов — +150 °C, у силовых тиристоров — +125 °C.
проволочных межсоединений. Технология обеспечивает хорошую электрическую прочность, высокую теплопроводность и умеренную себестоимость. Основной технической проблемой этой технологии является ограниченная стойкость приборов к термоциклированию (вследствие периодического изменения нагрузки или, реже, изменений температуры окружающей среды). Возникающие при этом термомеханические напряжения в зоне жесткого соединения разнородных материалов ведут к усталостному разрушению (постепенной деградации параметров приборов, приводящей в конце концов к катастрофическому отказу). Скорость старения и, соответственно, выдерживаемое количество циклов до отказа определяются главным образом перепадом температуры за цикл. Графики типичной зависимости показаны на рис. 1. Совершенствование технологии изготовления модулей (в частности, применение материалов с лучшим согласованием термомеханических характеристик) позволяет значительно улучшить их циклостойкость. Новые модули Vishay, относящиеся к седьмому поколению приборов, обеспечивают двукратный выигрыш по этому параметру в сравнении с предыдущими разработкаТаблица 2. Выпрямительные силовые модули Vishay в корпусе Add-A‑Pak для работы на сетевой частоте Средний выпрямленный ток, А 26 45 60 75–80 95–100 105
Диодные модули1, 2 – – VSKx56/04–VSKx56/16 VSKx71/04–VSKx71/16 VSKx91/04–VSKx91/16
Тиристорные и тиристорнодиодные модули1, 2 VSKx26/04–VSKx26/16 VSKx41/04–VSKx41/16 VSKx56/04–VSKx56/16 VSKx71/04–VSKx71/16 VSKx91/04–VSKx91/16 VSKx105/04–VSKx105/16
Примечания. 1 Символ «х» в обозначении типа модуля кодирует его конфигурацию в соответствии с таблицей 3. 2 Диапазон значений максимально допустимых повторяющихся обратных напряжений модулей — от 400 до 1600 В с шагом 200 В. Класс напряжения модуля показывают две последние цифры в его обозначении.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
силовая электроника
Символ (вместо «х») в обозначении модуля
Конфигурация модуля
Таблица 3. Варианты конфигурации выпрямительных силовых модулей Vishay для работы на сетевой частоте
D
Диодный полумост
C
Два диода с общим катодом
J
Два диода с общим анодом
E
Один диод
T
Тиристорный полумост
H
Тиристорнодиодный полумост (верхний тиристор)
L
Тиристорнодиодный полумост (нижний тиристор)
N
Диод и тиристор с общим анодом
U
Два тиристора с общим катодом
V
Два тиристора с общим анодом
Схема соединений модуля
ми (3–5‑е поколения) и на порядок лучше модулей первого поколения. Силовые выпрямительные модули в корпусе Add-A‑Pak предназначены для установок мощностью до 25–30 кВт. Параметры серий модулей в корпусе IntA‑Pak приведены в таблице 4. По большей части они, подобно модулям Add-A‑Pak, основаны на технологии DCB bonded. Однако на заказ возможно изготовление модулей в корпусе Int-A‑Pak прижимной конструкции с радикальным улучшением показателей циклостойкости.
Таблица 4. Выпрямительные силовые модули Vishay для работы на сетевой частоте в корпусе Int-A‑Pak Диодные модули1, 2
Тиристорные и тиристорнодиодные модули1, 2
Средний выпрямленный ток, А
136
–
VSKx136/04–VSKx136/16
142
–
VSKx142/04–VSKx142/16
170 230 240 250 270 320
162
–
VSKx162/04–VSKx162/16
VSKx166/04– VSKx166/16
–
196
VSKx196/04– VSKx196/16
–
236
VSKx236/04– VSKx236/16
–
Примечания. 1 Символ «х» в обозначении типа модуля кодирует его конфигурацию в соответствии с таблицей 3. 2 Диапазон значений максимально допустимых повторяющихся обратных напряжений модулей — от 400 до 1600 В с шагом 200 В. Класс напряжения модуля показывают две последние цифры в его обозначении.
Выпрямительные модули Int-A‑Pak предназначены для работы в составе электрооборудования мощностью 35–70 кВт. Для еще большей мощности — 80–90 кВт — применяются силовые модули в корпусе Magn-A‑Pak, которые представлены в таблице 5. Базовой технологией изготовления этих модулей является прижимная, когда кремниевые диски силовых диодов или тиристоров вместе с приваренными к ним молибденовыми термокомпенсаторами прижимаются к основанию, при этом одновременно обеспечиваются низкоомный электрический контакт и хороший теплоотвод. Поскольку такая конструкция значительно дороже, чем DCB bonded, компания Vishay проводит НИОКР по созданию модулей Magn-A‑Pak на ток 250 А на ее основе. Соответствующая продукция должна быть выпущена во второй половине 2014 года и предназначена для применений с относительно равномерной нагрузкой и жесткими требованиями по минимизации стоимости. Еще большую мощность нагрузки (ориентировочно до 150 кВт) обеспечивают выпрямительные модули в корпусе Super Magn-A‑Pak. Их номенклатура представлена в таблице 6.
Рис. 1. Совершенствование стойкости силовых модулей Vishay с технологией DCB bonded к многократному термоциклированию
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Таблица 5. Выпрямительные силовые модули Vishay для работы на сетевой частоте в корпусе Magn-A‑Pak
Средний выпрямленный ток, А
166
135
Диодные модули1, 2
Тиристорные и тиристорнодиодные модули1, 2
– VSKx170-04–VSKx170-16 – VSKx230-04–VSKx230-20 VSKx250-04–VSKx250-20 – – VSKx250-04–VSKx250-16 VSKx250-04–VSKx250-30 – VSKx320-04–VSKx320-20 VSKТ320-12 и VSKТ320-16
Примечания. 1 Символ «х» в обозначении типа модуля кодирует его конфигурацию в соответствии с таблицей 3. 2 Диапазон значений максимально допустимых повторяющихся обратных напряжений модулей — от 400 до 1600 В либо до 2000 или 3000 В с шагом 200 В. Класс напряжения модуля показывают две последние цифры в его обозначении.
Таблица 6. Выпрямительные силовые модули Vishay для работы на сетевой частоте в корпусе Super Magn-A‑Pak Средний выпрямленный ток, А
Диодные модули1, 2
Тиристорные и тиристорнодиодные модули1, 2
430
–
VSKx430-16–VSKx430-20
500
–
VSKx500-08–VSKx500-20
570
–
VSKТ570-16 и VSKТ570-18
600
VSKx600-08–VSKx600-20
–
Примечания. 1 Символ «х» в обозначении типа модуля кодирует его конфигурацию в соответствии с таблицей 3. 2 Диапазон значений максимально допустимых повторяющихся обратных напряжений модулей — от 400 до 1600 В или до 2000 В с шагом 200 В. Класс напряжения модуля показывают две последние цифры в его обозначении.
Основным конкурентом силовых выпрямительных модулей Vishay является продукция таких известных производителей, как IXYS, Semikron, Infineon, Powerex (табл. 7). Аналоги модулей в корпусе Add-A‑Pak выпускают также несколько компаний из ЮгоВосточной Азии. Основные параметры модулей-аналогов близки между собой, но могут быть и важные тонкости. В частности, допустимая величина токовой нагрузки может быть указана для различной температуры основания модулей или подошвы радиаторов. Для установок мощностью от 3 до 50 кВт сетевой выпрямитель может быть выполнен в виде единого модуля. Vishay выпускает такие модули по разным схемам: одно- и трехфазные, неуправляемые, полууправляемые и полностью управляемые мосты (табл. 8). Помимо диодных и тиристорных силовых модулей для работы на низкой (сетевой) частоте, Vishay предлагает обширнейший портфель модулей для высокочастотных (импульсных) преобразовательных установок. На рис. 2 показана укрупненная типовая электрическая схема источника питания с активным корректором коэффициента мощности и импульсным преобразователем напряжения. Показательно, что его можно полностью выполнить, используя только сиwww.kite.ru
136
силовая электроника
Таблица 7. Аналоги выпрямительных силовых модулей Vishay для работы на сетевой частоте Типоразмер корпуса и конфигурация силовых модулей
Диодные модули в корпусе Add-A-Pak
Тиристорные и диодно-тиристорные модули в корпусе Add-A-Pak
Диодные модули в корпусе Int-A-Pak
Тиристорные и диодно-тиристорные модули в корпусе Int-A-Pak
Диодные модули в корпусе Magn-A-Pak
Тиристорные и диодно-тиристорные модули в корпусе Magn-A-Pak
Диодные модули в корпусе Super Magn-A-Pak Тиристорные и диодно-тиристорные модули в корпусе Super Magn-A-Pak
Максимально допустимый средний прямой ток, А
Vishay2–6
IXYS2–6
Semikron2–6
Infineon2–6
Powerex2–6
До 45
–
Серии MDD26 и MDD44
Серии SKKD26 и SKKD46
–
–
56
Серия VSKD56/
Серия MDD56
–
–
–
80
Серия VSKD71/
Серия MDD72
Серия SKKD81
–
–
90–100
Серия VSKD91/
Серия MDD95
Серии SKKD100 и SKKD101
–
–
До 26
Серия VSKT26/
Серии MCC19 и MCC26
Серии SKKT20 и SKKT27
–
–
40
Серия VSKT41/
Серия MCC44
Серия SKKT42
–
–
56
Серия VSKT56/
Серия MCC56
Серия SKKT57
–
–
70
Серия VSKT71/
Серия MCC72
Серия SKKT72
–
–
95
Серия VSKT91/
Серия MCC95
Серия SKKT92
–
–
105
Серия VSKT105/
–
Серии SKKT106 и SKKT107
–
–
142
–
–
Серия SKKD162/
–
–
165
Серия VSKD166/
Серия MDD142-
Серия SKKD212/
Серия DD160N
–
195
Серия VSKD196/
Серия MDD172-
–
–
–
230
Серия VSKD236/
Серия MDD200-
–
–
–
135
Серия VSKT136/
Серия MCC132-
Серии SKKT122/ и SKKT132/
–
–
140
Серия VSKT142/
–
–
Серия TT142N
–
160–170
Серия VSKT162/
Серия MCC161-
Серия SKKT162/
Серия TT162N
–
170–180
–
Серия MCC162-
Серия SKKT172/
Серия TT180N
–
216
–
Серия MCC200-
–
–
–
170–180
–
–
Серия SKKD260/
Серия DD175N
–
230
–
–
–
Серия DD231N
–
250–260
Серия VSKD250-
Серия MDD220-
–
Серия DD260N
–
270–280
Серия VSKD270-
Серия MDD255-
–
Серия DD285N
–
320
Серия VSKD320-
Серия MDD312-
–
–
–
Свыше 350
–
–
Серия SKKD380/
Серия DD350N
–
150
–
–
–
Серия TT150N
–
170
Серия VSKT170-
Серия MCC170-
–
Серия TT170N
–
215
–
–
–
Серия TT215N
Серия ND43_21
230
Серия VSKT230-
Серия MCC225-
–
–
–
250
Серия VSKT250-
Серии MCC220- и MCC255-
Серии SKKT250/, SKKT280/ и SKKT273/ 1
Серии TT250N и TT251N
Серия ND43_35
280
–
–
–
Серия TT285N
–
320
Серия VSKT320-
Серия MCC312-
Серии SKKT330/ и SKKT323/ 1
Серия TT330N
–
430
–
–
–
Серия DD435N
–
540
–
–
–
Серия DD540N
–
600–700
Серия VSKD600-
Серия MDD710-
Серия SKKD701/
Серии DD600N и DD700N
Серия LD41_60
400
–
–
–
Серия TT400N
–
430–460
Серия VSKT430-
–
Серия SKKT460/
Серия TT430N
Серия LD43_43
500
Серия VSKT500-
Серия MCC501-
Серия SKKT460/
Серия TT500N
Серия LD43_50
550–570
Серия VSKT570-
Серия MCC551-
Серия SKKT570/
Серия TT570N
–
Примечания. 1 Для уменьшения себестоимости силовые модули серий SKKT273/ и SKKT323/ в корпусе Magn-A‑Pak выполнены по технологии DCB bonded. 2 В таблице 7 для примера представлена номенклатура силовых модулей с конфигурацией «диодный полумост» и «тиристорный полумост» соответственно. Доступны альтернативные конфигурации силовых модулей согласно таблице 3. 3 Аналоги силовых модулей в корпусе Add-A‑Pak выпускаются в корпусах Semipak1 (Semikron), X125c (IXYS). 4 Аналоги силовых модулей в корпусе Int-A‑Pak выпускаются в корпусах Semipak2 (Semikron), X126a (IXYS), 34‑мм PowerBlock (Infineon). 5 Аналоги силовых модулей в корпусе Magn-A‑Pak выпускаются в корпусах Semipak3 (Semikron), X131a (IXYS), 50‑мм PowerBlock (Infineon), POW-R‑BLOK (Powerex). 6 Аналоги силовых модулей в корпусе Super Magn-A‑Pak выпускаются в корпусах Semipak5 (Semikron), X136a (IXYS), 60‑мм PowerBlock (Infineon), POW-R‑BLOK (Powerex).
ловые полупроводниковые приборы в составе модулей в корпусе SOT‑227 от Vishay. В конструктиве SOT‑227, вследствие удобства его применения, выпускаются разные компоненты и узлы. Этот корпус является промышленным стандартом для силовых устройств мощностью порядка единиц киловатт, таких как ИБП, сварочные преобразователи, импульсные источники питания широкого применения. Он обеспечивает оптимальный теплоотвод от чипов на радиатор при хорошей электрической изоляции токоведущих частей от основания — до 3 кВ. При этом достигается наименьшая паразитная индуктивность монтажа по сравнению с другими изолированными корпусами. SOT‑227 удобен для модульного (из «кубиков») кон-
Рис. 2. Типовая электрическая схема источника питания с активным корректором коэффициента мощности и импульсным преобразователем напряжения
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
силовая электроника
137
Рис. 3. Приборы в корпусе SOT‑227, разрабатываемые и выпускаемые Vishay
струирования преобразовательных установок (подобно рис. 2). Конструктив SOT‑227 соответствует требованиям директивы по охране окружающей среды RoHS и стандартам безопасности UL. На рис. 3 представлен портфель приборов в корпусе SOT‑227, выпускаемых Vishay, а также тех, что еще находятся в разработке. Совершенствование идет главным образом в направлении улучшения их тепловых характеристик, что, в свою очередь, позволяет увеличить номинальный ток модулей. Среди силовых чипов, которые могут быть установлены в SOT‑227, — PT-IGBT Ultrafast, NPT-IGBT Warp2, Trench-FieldStoP-IGBT, FRЕD Pt, HEXFRED и MOSFET. Широкий выбор вариантов чипов IGBT и MOSFET (номинальное блокируемое напряжение до 1200 В, номинальный ток от 50 до 175 А) позволяет выбрать оптимальный силовой транзисторный ключ (СТК) для разных задач в диапазоне рабочих частот от одного до нескольких сотен килогерц. IGBT могут дополняться антипараллельными диодами со сверхбыстрым и мягким восстановлением обратной блокирующей способности. Доступны также IGBT с нормированной стойкостью к режиму КЗ в цепи нагрузки. На базе стандартных модулей SOT‑227 возможно изготовление приборов с заказными характеристиками, топологией и номенклатурой устанавливаемых чипов. Также в корпусе SOT‑227 доступна широкая номенклатура диодов со сверхбыстрым и мягким восстановлением на диапазон номинальных токов от 80 до 280 А и с обратным напряжением до 1200 В. Наличие в портфеле Vishay дополняющих друг друга технологий FRЕD Pt и HEXFRED позволяет пользователю выбрать чипы с оптимальным компромиссом между величинами прямого падения напря-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Таблица 8. Полные выпрямительные мосты Vishay для работы на сетевой частоте
Конфигурация
Наименование
Однофазный неуправляемый мостовой выпрямитель
26MB…A 36MB…A 26MB…A 36MB…A GBPC25… GBPC35… GBPC25…A GBPC35…A GBPC25…W GBPC35…W GBPC25…W GBPC35…W PB25… PB35… PB40… PB50…
Максимально допустимое повторяющееся обратное напряжение, В 200–1200 1200–1600 200–1000 600–1200 200–1000 600–1200
600–1000
25MT…
Максимально допустимый средневыпрямленный ток, А 25 35 25 35 25 35 25 35 25 35 25 35 25 35 40 45
35
40MT…P
45 1400–1600
100MT…P
Однофазный полууправляемый мостовой выпрямитель
Трехфазный полууправляемый мостовой выпрямитель (нижние тиристоры) Трехфазный полууправляемый мостовой выпрямитель (верхние тиристоры) Трехфазный полностью управляемый мостовой выпрямитель
75
130 160
400
55MT…P
MTP
MTK
55
105MT…P
MTP 105
51MT…K
50 800–1600
111MT…K 52MT…K 92MT…K 112MT…K 53MT…K 93MT…K 113MT…K
PowerBridge
200
800–1200
91MT…K
GBPC
110 800–1600
160MT…K 200MT…K
GBPC
100
110MT…K 130MT…K
MB-D34
MT
35MT…
70MT…P
Внешний вид
25 800–1600
Трехфазный неуправляемый мостовой выпрямитель
Обозначение типа корпуса
90
MTK
110 800–1600
800–1600
50 90 110 50 90 110
MTK
MTK
www.kite.ru
силовая электроника
138
Таблица 9. Портфель силовых модулей IGBT от Vishay в корпусах Int-A‑Pak и Dual Int-A‑Pak высотой 30 мм
Конфигурация силового модуля
Максимально допустимое блокируемое напряжение IGBT, В
Таблица 10. Силовые модули быстродействующих IGBT от Vishay на напряжение 600 В Конфигурация силовых модулей
Наименование силового модуля GA200SA60UP GA100NA60UP GB100DA60UP GT100DA60U GB70NA60UP GB70LA60UP 50MT060ULSTA GT400LD60N 50MT060WHAPBF 70MT060WHAPBF GB100TS60NPBF GB150TS60NPBF GB200TS60NPBF GT400TD60N GT600TD60U
600
1200
Одиночный IGBT
Одиночный СТК
–
300, 400, 600 А
Чоппер
–
50, 75, 100, 150, 200, 300
Одиночный IGBT с антипараллельным диодом
Полумост СТК
50–400 А
50–400 А
Примечание. Все IGBT — с антипараллельными диодами.
жения и времени обратного восстановления в соответствии с требованиями конкретной задачи. Кроме того, FRЕD Pt позволяют увеличить максимально допустимую рабочую температуру модуля до +175 °C. В таблице 9 указан диапазон возможных характеристик силовых модулей IGBT в корпусах Int-A‑Pak и Dual Int-A‑Pak высотой 30 мм. Vishay выпускает разнообразные модули IGBT и FRЕD для работы в импульсных преобразователях на высокой частоте. На рис. 4 приведены данные, означающие доступные компромиссы характеристик IGBT, которые позволят оптимизировать конкретный проект по соотношению статических и динамических потерь. В таблице 10 представлены параметры силовых модулей IGBT от Vishay с номинальным блокируемым напряжением 600 В. Также доступны IGBT на 1200 В и разнообразные диодные модули, которые здесь не рассматриваются из-за ограниченного размера публикации.
Заключение Применение силовых модулей позволяет создавать высоконадежные, компактные и эффективные преобразовательные установки. Vishay предлагает исключительно широкий ассортимент стандартных приборов для работы на низкой (сетевой) частоте и в составе высокочастотных преобразователей. Стратегическими направлениями развития силовых модулей Vishay являются: • расширение портфеля в сторону увеличения допустимых токов приборов; • разработка и освоение производства аналогов популярных моделей стандартных силовых модулей, имеющих большие объемы применения, для соответствия требованиям «второго поставщика»; • разработка оптимизированных под конкретные требования силовых модулей в среднем диапазоне мощностей для некоторых крупных заказчиков или областей применения. Использование силовых модулей Vishay позволяет обеспечить быструю и недорогую разработку и освоение производства электрооборудования с высокими техническими характеристиками и стабильным качеством. n
Комплементарные чопперы Чоппер с нижним IGBT
Полумост IGBT с антипараллельными диодами и термореле
Максимально допустимое повторяющееся обратное напряжение, В
Максимально допустимый средневыпрямленный ток, А
600
100 50 85 137
600
600 600
600
Полный (однофазный) мост IGBT с антипараллельными диодами Полный (однофазный) мост MOSFET и IGBT с антипараллельными диодами и термистором
25MT060WFAPBF
600
70MT060WFH
600
Косой полумост IGBT с антипараллельными диодами и термистором
100MT060WDF 150MT060WDF GT75XF060N GT100XF060N GT200XF060N
Трехфазный мост IGBT с антипараллельными диодами и термистором
600
600
Обозначение Примечания типа корпуса SOT-227 SOT-227
76
SOT-227
50 400 50 70 100 150 200 400 600
MTP Dual Int-A-Pak MTP
1 2, 3 3, 4 3, 5 3, 4, 6, 8 3, 4, 7, 8 1, 7, 9 3, 5, 7, 10 9, 11 4, 9
Int-A-Pak
3, 9, 12
Dual Int-A-Pak
5, 9, 10, 13
25
MTP
11
71
MTP
4, 9, 13, 14
MTP
3, 4, 9 4, 9, 13
83 124 81 112 232
ECONO2
5, 9, 13
ECONO3
Примечания. 1 PT-IGBT Ultrafast. 2 PT-IGBT. 3 Новинка. 4 NPT-IGBT Warp2. 5 Trench-FieldStop-IGBT. 6 Чоппер с верхним IGBT. 7 Чоппер с нижним IGBT. 8 Пара комплементарных чопперов для работы в преобразователе по схеме косого полумоста. 9 В силовой модуль встроен термистор. 10 Силовые модули Dual Int-A‑Pak доступны как в формате стандартной высоты (30 мм), так и в корпусе уменьшенной высоты — 17 мм (новая разработка). Последний вариант обеспечивает дополнительный выигрыш в отношении уменьшения величины паразитных индуктивностей монтажа. 11 PT-IGBT Warp1. 12 NPT-IGBT Ultrafast. 13 Силовой модуль находится в стадии разработки. Доступны образцы для предварительного ознакомления. 14 Одна из стоек моста выполнена на NPT-IGBT Warp2 с антипараллельными диодами, вторая — на силовых MOSFET (с сопротивлением в проводящем состоянии 19 мОм).
Рис. 4. Варианты компромиссов между величиной потерь в проводящем состоянии и коммутационных потерь, предоставляемые различными технологиями IGBT от Vishay
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
Реклама
139
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
www.kite.ru
140
силовая электроника
Стив Нот (Steve Knoth)
Введение Становится привычным, что все большее количество устройств, питающихся от батарей, может работать с источниками заряда, батареями различных химических типов, имеющих широкий диапазон тока и напряжения. Например, промышленные, медицинские и автомобильные зарядные устройства требуют все более высоких напряжений и токов, так как применяются новые схемы сборки массивов больших батарей. Кроме того, все больше солнечных батарей различных уровней мощности и разных размеров работают с перезаряжаемыми герметичными свинцово‑кислотными (Sealed Lead Acid, SLA) аккумуляторами и аккумуляторами на основе лития. Их используют повсеместно: начиная от светофоров на пешеходных переходах до портативных акустических систем, от пресс-компакторов мусора до морских буев. Некоторые свинцово‑кислотные аккумуляторы, используемые в солнечных установках, являются одним из видов аккумуляторов глубокого разряда, способных выдерживать длительные повторяющиеся циклы заряда после полной разрядки. Хорошим примером этого являются глубоководные морские буи, для которых необходимое условие — это 10‑летний срок службы, а также неэлектрифицированные (то есть отключенные от сетей электроэнергии общественного пользования) системы возобновляемых источников энергии, например в солнечной или ветровой энергетике, где время готовности системы имеет первостепенное значение из-за сложности доступа к таким установкам. Сегодняшняя рыночная конъюнктура такова, что даже при создании установок, не использующих солнечную энергию, возрождается интерес к элементам аккумуляторных батарей SLA большой мощности. Автомобильные или «стартовые» элементы аккумуляторных батарей SLA стоят недорого, если исходить из соотношения стоимости и выходной мощности. Они могут выдавать большие кратковременные импульсные токи, что делает их оптимальными для стартеров автомобилей и других применений в транспортных средствах.
Простое решение проблемы зарядки аккумуляторов в высоковольтной и сильноточной системе Свинцово‑кислотные аккумуляторы глубокого разряда — это еще одна технология, популярная в промышленности. У них более толстые пластины, чем в автомобильных аккумуляторах, и они разработаны для разряда менее 20% от их полной зарядки. Такие аккумуляторы, как правило, используются там, где подача питания требуется в течение длительного периода времени, например в вилочных погрузчиках или гольфмобилях. Тем не менее, как и их литиево‑ионные (Li-Ion) аналоги, свинцово‑кислотные аккумуляторы очень чувствительны к перезарядке, поэтому относиться к ним нужно бережно. Существующие решения, основанные на единой интегральной схеме (ИС), покрывают лишь часть из множества возможных комбинаций входного напряжения, напряжения и тока заряда. Для того чтобы решить остальные задачи, требующие более сложных комбинаций и топологий, приходится использовать не самое оптимальное сочетание микросхем и большое количество дискретных элементов. Так было до тех пор, пока в 2011 году корпорация Linear Technology не упростила этот рыночный сегмент, создав 2‑микросхемное решение для зарядного устройства, включив в него микросхему контроллера зарядки аккумулятора LTC4000 и совместимый DC/DCпреобразователь с внешней компенсацией.
Все, что нужно, — это несложное комбинированное зарядное устройство Обозначим некоторые проблемы, с которыми сталкивается проектировщик с самого начала разработки зарядного устройства. Это выбор из широкого круга всевозможных аккумуляторов, высокая емкость батарей, нуждающихся в зарядке, или входное напряжение, которое находится выше и ниже диапазона напряжения аккумулятора, что вызывает необходимость в комбинированной топологии. Кроме того, ситуацию ухудшают множество устройств без простых, специализированных, на базе одной микросхемы решений для зарядки аккумулятора. Вот примеры: • Разнообразие входов зарядки для данного аккумулятора: одни из них имеют напря-
жение выше напряжения аккумулятора, другие — ниже. • Единственный вход, чей диапазон напряжения охватывает значения выше и ниже диапазона напряжения аккумулятора. • Высокое входное напряжение (>30 В). Набор входных источников столь же широк, сколь и разнообразен. Перечислим наиболее сложные, с которыми приходится работать, когда речь идет о системах зарядки аккумуляторов: это сетевые адаптеры высокой мощности с напряжением от 5 до 19 В и выше, системы с выпрямлением на 24 В переменного тока, солнечные батареи с высоким сопротивлением, а также автомобильные аккумуляторы и аккумуляторы для грузовых автомобилей и машин повышенной проходимости. А это значит, что возможное сочетание аккумуляторов в этих системах — на основе лития (Li-Ion, Li-Polymer, LiFePO4), свинцово‑кислотные и даже на основе никеля — увеличивает возможность перестановок еще больше, что усложняет положение разработчика. В связи с трудностью разработки микросхем в основном ограничиваются понижающими контроллерами зарядки аккумулятора или более сложными топологиями преобразователя с несимметрично нагруженной первичной индуктивностью (SEPIC). Если добавить функцию зарядки от солнечных батарей, то откроется множество других возможностей. Наконец, существующие устройства могут заряжать множество типов батарей (некоторые со встроенной схемой окончания заряда); однако до сих пор ни одно зарядное устройство на одной микросхеме не обеспечило необходимых эксплуатационных характеристик для решения всех этих вопросов.
Новое решение с одной микросхемой Комбинированное устройство для зарядки с одной микросхемой должно обладать большинством из перечисленных ниже характерных свойств: • Гибкость. (Оно должно эффективно работать как в повышающем, так и в понижающем режиме.) • Широкий диапазон входных напряжений.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
силовая электроника
• Широкий диапазон выходных напряжений для работы с разнообразными аккумуляторами. • Возможность зарядки различных химических батарей. • Простая и автономная работа (без микропроцессора). • Высокий ток заряда для быстрой зарядки больших высокомощных гальванических элементов. • Разводка, обеспечивающая малый размер и низкий профиль. • Улучшенный корпус для снижения тепловыделения и повышения эффективности используемого пространства. Когда компания Linear Technology несколько лет назад выпустила микросхему контроллера зарядного устройства аккумулятора LTC4000, которая работает в сочетании с DC/DC-преобразователем с внешней компенсацией для формирования мощного и гибкого 2‑микросхемного решения для зарядки аккумулятора, это значительно упростило довольно запутанное и громоздкое альтернативное решение. Чтобы использовать схему управления PowerPath, преобразователь с повышением/понижением и ограничением входного тока, в недавнем прошлом приходилось задействовать комбинированный DC/DC-стабилизатор с импульсным управлением или контроллер зарядного устройства импульсного понижающего стабилизатора в паре с внешним повышающим контроллером, дополняя их микропроцессором и несколькими микросхемами, а также дискретными компонентами. Основными недостатками такой схемы были ограниченный диапазон рабочего напряжения, невозможность совместной работы с солнечной батареей, неспособность заряжать все типы химических батарей и отсутствие встроенного прекращения заряда. Тем не менее сегодня стало доступно более простое и гораздо более компактное интегрированное устройство для решения этих многочисленных проблем. Комбинированный контроллер заряда аккумулятора LTC4020 обеспечивает понижающее/повышающее решение для зарядки на основе только одной микросхемы.
Контроллер зарядки аккумулятора LTC4020 LTC4020 — это современное устройство управления высоким напряжением питания и контроллером для заряда разнообразных химических аккумуляторов. Оно разработано для эффективной передачи мощности от различных источников в шину питания системы и для зарядки аккумулятора. Устройство осуществляет точную регулировку тока заряда, регулирование напряжения заряда в пределах ±0,5% и работает в широком (от 4,5 до 55 В) диапазоне входного напряжения, оно также совместимо
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
141
Рис. 1. Типовая схема применения LTC4020
с множеством различных источников входного напряжения. Имея выходное напряжение до 55 В, ток заряда 20 А и возможность выбора трех алгоритмов окончания заряда, микросхема LTC4020 в равной степени приспособлена к широкому спектру напряжений аккумуляторных блоков и химических типов батарей. LTC4020 содержит повышающий/понижающий DC/DC-контроллер, что позволяет ей работать с напряжениями аккумуляторов, которые выше, ниже или равны входному напряжению (VIN). Типичные области применения включают портативное промышленное и медицинское оборудование, системы солнечных батарей, военную технику связи и встроенные автомобильные системы от 12 до 24 В. Особенностью LTC4020 является интеллектуальная схема PowerPath (рис. 1): она сужает диапазон напряжений, требуемых для последующих компонентов системы, для которых будет необходим другой диапазон напряжений в аккумуляторах по сравнению с максимальным напряжением источника на входе. Кроме того, мгновенная готовность к работе обеспечивает систему мощностью в нагрузке с полностью разряженным аккумулятором. Более того, эта топология преимущественно обеспечивает питание для нагрузки системы, когда потребляемая мощность ограничена; контроллер идеального диода гарантирует, что на выходе VOUT всегда будет необходимая мощность, в том случае если от DC/DC-преобразователя ее поступает недостаточно. Цепь контроля точки оптимальной мощности (Maximum Power Point Control, MPPC) имеет контур регулирования входного напряжения, который управляет током заряда для удержания входного напряжения на запрограммированном уровне, идеальном для входа с высоким импедансом или устройств, питаемых от солнечных батарей. При отсутствии входного питания ток стока батареи
снижается только до 10 мкА, таким образом поддерживается максимальная сохраняемая емкость. Три метода зарядки, выбираемых с помощью выделенных для этого входов контроллера LTC4020, оптимизированы для различных химических аккумуляторов. LTC4020 может обеспечить зарядные характеристики постоянный ток/постоянное напряжение (constant-current/constant-voltage, CC/CV), или С/10, либо прекращение зарядки, заданное по времени, для использования с аккумуляторными системами на основе лития, включая Li-Ion, Li-Polymer и LiFePO4. Способность заряда при помощи постоянного тока (CC) вкупе с возможностью прекращения зарядки, заданной по времени, могут быть использованы для зарядки суперконденсаторов или аккумуляторов на основе никеля (с непрерывным подзарядом). Наконец, 4‑ступенчатый 3‑этапный метод заряда свинцово‑кислотных устройств эффективен при работе со всеми типами свинцово‑кислотных аккумуляторов, в том числе герметичных, а также AGM- и кислотных аккумуляторов. Встроенный таймер управляет прекращением заряда CC/CV по времени и выступает в качестве дополнительной защиты во время предварительной и абсорбционной зарядки для свинцово‑кислотных аккумуляторов. Два цифровых выхода с открытым стоком показывают состояние зарядного устройства и сигнализируют о сбоях. При помощи этих выходов предоставляется информация о зарядке аккумулятора, ждущем или выключенном режимах, превышении температуры в батарее и ее неисправности. В числе других свойств зарядного устройства: заряд, ограниченный по температуре с помощью термистора с отрицательным температурным коэффициентом (NTC), автоматическая перезарядка и предварительная зарядка малым током для сильно разряженных батарей. www.kite.ru
142
силовая электроника
Рис. 2. Характеристика эффективности заряда при максимальной выходной мощности относительно входного напряжения
LTC4020 обеспечивает высокую эффективность зарядки. На рис. 2 приводится характеристика при работе с 6‑элементной свинцово‑кислотной батареей. Эффективность составляет более 90% и поднимается до 97% в зависимости от входного напряжения и уровня мощности. Микросхема LTC4020 выполнена в низкопрофильном (0,75 мм) 38‑контактном плоском QFN-корпусе с металлической подложкой для лучшего теплоотвода. Размеры корпуса — 57 мм. Устройство гарантирует работу в диапазоне от –40 до +125 °C. Основные характеристики: • Широкий диапазон напряжения: от 4,5 до 55 В (вход), до 55 В (выход), 60 В — абсолютный максимум. • Синхронный повышающе-понижающий DC/DC-контроллер. • Алгоритмы заряда Li-Ion и свинцово‑кислотных батарей. • Точность установки напряжения: ±0,5%. • Точность тока зарядки: ±5%. • Мгновенная работа для сильно разряженных батарей. • Контроллер идеального диода обеспечивает низкие потери с PowerPath при ограниченной входной мощности. • Регулировка входного напряжения для устройств с высокоимпедансным входом и солнечных батарей с функцией удержания пиковой мощности. • Встроенный таймер для защиты и прекращения заряда. • Обнаружение неисправных аккумуляторов с автоматическим сбросом. • Вход NTC для контроля температуры при зарядке. • Дополнительные выводы с открытым коллектором для контроля состояния.
Реклама
Зарядка от солнца Существует много различных способов для того, чтобы попытаться вывести солнечную батарею на ее точку оптимальной
мощности (Maximum Power Point, MPP). Один из самых простых заключается в подключении аккумулятора солнечной панели через диод. Этот метод основан на согласовании максимального выходного напряжения батареи с относительно узким диапазоном напряжения аккумулятора. Если используемые уровни мощности очень малы (менее нескольких десятков милливатт), это может стать наилучшим подходом. Однако уровни мощности не всегда низкие. Поэтому в LTC4020 используется управление точкой оптимальной мощности (Maximum Power Point Control, MPPC). Эта технология основана на том, что максимальное напряжение питания (Maximum Power Voltage, VMP) солнечной батареи обычно сильно не меняется в зависимости от изменений количества падающего света. Таким образом, простая схема может заставить панель работать при фиксированном напряжении и примерно максимальной рабочей мощности. Для измерения напряжения батареи используется делитель напряжения, и, если входное напряжение падает ниже запрограммированного уровня, нагрузка на батарею уменьшается до тех пор, пока она не сможет поддерживать запрограммированный уровень напряжения.
Зарядка никелевых аккумуляторов Для батарей на основе никеля, например никель-кадмиевых (NiCd) или никель-металлогидридных (NiMH), необходимо предусмотреть возможность избыточного заряда. Стандартный подход — это зарядка с низкими токами в течение длительного периода времени. NiCd- или NiMH-аккумуляторы могут поглощать ток зарядки C/300 до бесконечности. Уменьшить продолжительность зарядки можно при использовании алгоритма заряда источником тока с ограничением по времени. Однако перед зарядкой рекомендуется убедиться в том, что аккумулятор разряжен, а затем зарядить его не более чем на 125% его мощности. Например, разряженный NiMH-аккумулятор 2000 мA·ч заряжается при 2,5 A в течение одного часа.
Заключение LTC4020 упрощает очень сложную зарядку систем высокого напряжения и высоких токов. С его помощью можно заряжать различные химические аккумуляторы, в том числе на основе лития: литий-ионные, литий-полимерные, литий-фосфатные, а также герметичные свинцово‑кислотные и даже ячейки на основе никеля. Способность микросхемы действенно и эффективно повышать или понижать сигнал из различных входных источников, в том числе при использовании солнечных батарей, значительно упрощает проектирование цепей зарядки высокомощных аккумуляторов. n
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
проектирование
144
схемотехника
Малошумящие усилители для удаленных сенсоров Владимир Рентюк rvk.modul@gmail.com
П
ростейшими усилителями с двухпроводной линией связи с основным оборудованием являются хорошо известные встраиваемые в электретные микрофоны однокаскадные усилители на полевых транзисторах (рис. 1). Электретный микрофон имеет высокий импеданс емкостного характера, что вынуждает подключать его к усилителям с высоким входным сопротивлением. В конструкции практически всех электретных микрофонов есть предусилитель, хотя правильнее назвать его «преобразователь сопротивления» [1].
Рис. 1. Предварительный усилитель электретного микрофона с двухпроводной связью
В статье предлагается авторский подход к проектированию высокоэффективных удаленных малошумящих предварительных усилителей с непосредственным питанием по двухпроводной линии связи.
Еще один вариант предварительного усилителя для индукционного датчика с двухпроводной линией связи представлен на рис. 2. Этот усилитель использовался автором в одной из разработок середины 1980‑х годов. Аналогичные по структуре предварительные усилители с вариацией элементов можно найти в технической литературе. На первый взгляд проблема не кажется сколь-нибудь сложной в реализации, но это до тех пор, пока не возникнет вопрос обеспечения не просто какого-то коэффициента усиления, а заданного коэффициента усиления, поддержания его стабильности при выпуске изделий и во время эксплуатации. Еще одна проблема — формирование начальной амплитудно-частотной характеристики. Все это становится особенно сложным в реализации, когда речь идет о работе с малыми уровнями сигнала при использовании высокоимпедансных датчиков, например пьезоэлектрических сенсоров, для которых трудно подобрать элементы входной цепи. Что касается коррекции начальной АЧХ, она бывает необходимой, если у самого сенсора нелинейная АЧХ чувствительности или особенности окружающей его среды имеют зашумленность в определенной области частотного спектра, которую нужно компенсировать.
Рис. 2. Предварительный усилитель с двухпроводной связью для удаленного индуктивного датчика
Так, при работе в море, если не принимать специальные меры, действие усилителя для пьезоэлектрических сенсоров будет невозможным, если в самом усилителе не скорректировать спектр частот ниже 12 Гц и максимально исключить влияние частот ниже 2 Гц (рис. 3). Причем коррекция частотного спектра (так называемое выбеливание, то есть приведение к равномерному спектру в заданной области частот) только для выравнивания спектра шумов моря должна быть не менее 8–10 дБ/октава, соответственно, понадобится фильтр высоких частот второго порядка. С учетом компенсации свойств сенсоров порядок фильтра может потребоваться еще выше. Если сенсор должен работать в широкой полосе частот, например до 5 кГц, то для получения линейного исходного спектра шумов моря придется формировать достаточно сложную АЧХ с подъемом в области высоких частот. В противном случае или возникнет перегрузка усилителя, или уровень сигнала, поступающего на внешнее устройство по линии связи, окажется мал для обнаружения его изменения, а отношение «сигнал-шум» будет низким. Можно ли решить подобную задачу с помощью простейших усилителей на дискретных элементах? Однозначно — нет. Во‑первых, для получения гарантированно-
Рис. 3. Образец спектра глубоководных шумов моря [2]
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
схемотехника
го коэффициента усиления нужны каскады с отрицательной обратной связью по напряжению. Во‑вторых, эти каскады должны позволять формировать заданную АЧХ и необходимое входное сопротивление для согласования с импедансом сенсора. Естественно, самым удобным вариантом создания такого усилителя будет применение операционных усилителей (ОУ) в интегральном исполнении (ИМС). Из современного ряда таких ИМС можно подобрать ОУ, подходящий для решения практически любых задач. Еще одна проблема состоит в том, чтобы обеспечивать работу через двухпроводную линию связи, что, собственно, и является предметом рассмотрения в данной статье. Последнее требование исключает решение этой задачи посредством инструментальных усилителей [3], поскольку они не только не позволяют реализовать двухпроводную линию связи с бортовым оборудованием (надо как минимум три провода), но и не могут работать на емкостную нагрузку без принятия специальных мер. Кроме того, они предполагают наличие дополнительного операционного усилителя, а в ряде случаев симметричное подключение гидроакустических датчиков конструктивно невозможно. Так, в конструкции, задачу для которой решал автор статьи, это было исключено. В качестве базового решения был выбран вариант схемы, представленный на рис. 4. Как видно из рис. 4, в основу усилителя положен обычный инвертирующий усилитель на ОУ с частотнозависимыми обратными связями R4, C4 и R5, C5, формирующими начальную АЧХ. Необходимое входное сопротивление и, следовательно, согласование с приемником обеспечивает резистор R3. Выходной каскад выполнен на транзисторе VT1, который также имеет отрицательную обратную связь по напряжению (резистор R6), стабилизирующую коэффициент усиления выходного каскада. Нагрузка выходного каскада размещена в бортовом оборудовании. Дополнительный фильтр при необходимости можно включить между ОУ и выходным каскадом. Питание входного усилителя и фильтра планировалось осуществлять через стабилизатор напряжения с малым собственным падением напряжения LM2931-5.0 [4], среднюю точку формирует резистивный делитель R1, R2. Стабилизатор должен был запитываться непосредственно через линию связи. Для выполнения требований спецификации [4] на входе стабилизатора имеется конденсатор С2, отделенный от сигнальной цепи резистором R1. Считалось, что стабилизатор LM2931-5.0 имеет высокий уровень подавления пульсаций входного напряжения — типовое значение 80 дБ. Таким образом, уровень пульсаций (а в данном случае это полезный сигнал, выделяющийся на нагрузке R) не будет оказывать влияния на работу внутренних каскадов предварительного усилителя.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
проектирование
145
Рис. 4. Базовое схемное решение удаленного предварительного усилителя
Рассмотренное выше базовое схемотехническое решение было промоделировано с использованием симулятора Multisim. Моделирование показало полную практическую пригодность усилителя для заданных техническим заданием условий: усиление не менее 20 дБ, уровень максимального выходного сигнала не менее 0,775 В (с. к. з.). Схема была дополнена фильтром, необходимым для выполнения требования технического задания по нормализации спектра исследуемых частот, затем по ней была изготовлена опытная партия предварительных усилителей для двух разновидностей гидроакустического оборудования. Однако проверка опытных образцов дала отрицательный результат — на выходе усилителя имел место чрезвычайно высокий уровень шума, соизмеримый с уровнем полезного сигнала. Причем до выходного каскада уровень собственных шумов усилителя оставался в норме и самовозбуждение усилителя отсутствовало. В норме были и все остальные заложенные в его схемное решение параметры: коэффициент усиления, максимальный уровень сигнала, АЧХ и отношение «сигнал/шум» входного усилителя. Но отношение «сигнал/шум» было в норме лишь до нагрузки выходного каскада. Первоначально подозрение пало на цепь запуска стабилизатора напряжения LM29315.0 (для его функционирования следует обеспечить начальный пусковой ток порядка 25 мА). Замена стабилизатора LM2931-5.0 на ИМС других типов несколько уменьшила общий уровень шумов, но кардинально ситуацию не изменила. Найти причину с помощью компьютерного моделирования не удавалось. Даже такая мощная программа компьютерного моделирования, как Multisim, оказалась бессильной в данной ситуации. Лишь экспериментально было установлено, что схемы предварительных усилителей с питанием по двухпроводной линии связи, при попытке использования ИМС стабилизаторов напряжения для разделения сигнальных цепей и цепей питания, неработоспособны из-за высокого уровня собственных шумов,
выделяющихся на резисторе, подключенном к их входу. То есть в рассматриваемом случае — на выходе конечного каскада усилителя. Исследования, проведенные автором статьи, подтвердили этот факт для ИМС серий 78xx, 1117, 2931 и источника опорного напряжения ADM425 [8]. В ходе анализа сложившейся ситуации и исследовательских работ, необходимых для исключения избыточного уровня шумов, автором статьи было проверено несколько вариантов решения проблемы подачи питания. Так, проверялся ряд схемных решений параметрических стабилизаторов, стабилизаторы с источниками тока и т. п. В результате появилась возможность предложить новый подход, позволивший устранить возникшую проблему, — интегральный стабилизатор в схеме усилителя был заменен модифицированным транзисторным сглаживающим фильтром Г‑типа (такой фильтр описан, например, в [6]). В общем виде предлагаемое техническое решение впервые было опубликовано в [9], а одно из практических схемотехнических решений усилителя с подобной организацией подачи питающего напряжения на его слаботочную часть представлено на рис. 5. Использование такого модифицированного транзисторного сглаживающего фильтра в качестве стабилизатора напряжения (на схеме рис. 5 он выполнен на транзисторе VT1) оказалось возможным ввиду малого собственного тока потребления входным усилителем и фильтром, которые выполнены на ИМС ОУ серии AD822 [5]. Данная ИМС имеет собственный ток потребления (quiescent current) I q при питании ИМС от однополярного напряжения VS = +5 В не более 1,6 мА, типовое потребление тока Iq(тип.) = 1,24 мкА. Ко всему прочему, ОУ AD822 имеет нормированный уровень шумов в низкочастотной части спектра, например, в частотном диапазоне 10 Гц спектральная плотность напряжения не более 25 нВ/√Гц, а двойной размах амплитуды шумов Vp-p в диапазоне 0,1–10 Гц не более 2 мкВ. ИМС AD822 имеет сверхмалые входwww.kite.ru
146
проектирование
схемотехника
Рис. 5. Практическая реализация удаленного предварительного усилителя
ные токи, хорошо работает с высокоимпедансными источниками сигнала, является операционным усилителем типа rail-to-rail по выходу и, как уже отмечалось, допускает работу от однополярного источника питающего напряжения 5 В. Этот выбор идеально подходит для решения поставленной задачи. Как видно из приведенного рисунка, схема достаточно сложная. Кроме стабилизатора напряжения на транзисторе VT1, она содержит входной усилитель, сложную систему фильтров (фактически это полосовой фильтр с усилением) и выходной каскад, работающий на удаленную нагрузку. Питание и передача сигнала осуществляется по двухпроводной линии. Входной усилитель на DA1-1 обеспечивает согласование с приемником (выходное сопротивление
приемника на частоте 12 Гц более 400 кОм) и начальное усиление в полосе пропускания. Защиту входного усилителя от бросков напряжения обеспечивает ограничитель на диодах Шоттки VD1, ток диодов защиты ограничен резистором R5. Выходной каскад усилителя — это транзистор с общим эмиттером и отрицательной обратной связью по напряжению. Усилитель имеет сложную систему фильтров. Во‑первых, это непосредственно входной усилитель, работающий как полосовой фильтр. Во‑вторых, фильтр низких частот первого порядка на элементах R10, C9; фильтр высоких частот второго порядка на ИМС DA1-2; еще один фильтр низких частот первого порядка на элементах R13, C11. Полоса пропускания предварительного усилителя по уровню –3 дБ составляет
8–35 Гц, подавление частот 1 и 200 Гц — примерно по 45 дБ, что соответствовало техническому заданию. АЧХ предварительного усилителя с учетом влияния выходного импеданса приемника представлена на рис. 6. Рассмотрим работу схемы питания усилителя и методику его расчета по цепям постоянного тока. Искусственная средняя точка, как и планировалось в базовом техническом решении (рис. 4), обеспечивается делителем на элементах R2, R9, C7 (заметьте: R2 = R9). Делитель задает смещение 0,5VS (в нашем варианте это 2,5 В) для обоих ОУ ИМС DA1. Таким образом обеспечивается максимальный динамический диапазон низковольтной части предварительного усилителя. Положим, как и в примере на рис. 5, питание ИМС DA1 равным +5 В. Как отмечалось выше, собственный ток потребления DA1 в этом режиме составляет не более 1,6 мА. Исследования, проведенные автором статьи, показали, что для достижения максимального динамического диапазона выходного напряжения ток покоя коллектора выходного транзистора VT2 (ток при отсутствии сигнала) не менее чем в 2 раза должен превышать собственный ток потребления каскадов низковольтной части усилителя (в данном случае AD822 и делителя R2, R9). Величину тока коллектора транзистора VT1 устанавливает резистор R14. Таким образом:
R14 < (VS/2–VEB)/Iq = = (5/2–0,68)/1,6 = 1,14 кОм,
Рис. 6. АЧХ предварительного усилителя рис. 5
(1)
где VEB = 0,68 В — это типичное напряжение «база-эмиттер» для маломощных транзисторов в активном режиме [7].
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
схемотехника
проектирование
147
Рис. 7. Предварительный усилитель с минимальной частотной коррекцией
Пусть R14 = 560 Ом. В этом случае ток коллектора IC выходного транзистора равен:
IC = (VS/2–VEB)/R14.
(2)
Такое утверждение справедливо, поскольку ток базы транзистора VT2 будет пренебрежимо мал (коэффициент усиления по току hFE для BC847C не менее 420 [7]). Таким образом:
IC = (5/2–0,68)/560 = 3,25 мА. Для получения требуемого динамического диапазона, а вернее, максимальной необходимой амплитуды сигнала на удаленной нагрузке Rн, нужно, чтобы напряжение на коллекторе VT2 при отсутствии сигнала было не менее:
VS+ΔVS+Va,
Для обеспечения технологического запаса положим величину напряжения на коллекторе VT2 равной Vout = 7,8 В. Таким образом, сопротивление в цепи нагрузки выходного каскада усилителя будет равно:
Rн = (Vext–Vout)/Imax,
(4)
где Vext — напряжение питания коллекторной нагрузки Rн, которое выбирается равным 2Vout (оптимально); Imax — полный ток потребления усилителем. Полный ток потребления можно узнать, закончив проектирование каскада на транзисторе VT1. Необходимое выходное напряжение каскада на транзисторе VT1 обеспечивает резистивный делитель R3, R4. Оно может быть рассчитано как:
(3)
где ΔVS — это разность напряжения «коллектор-эмиттер» VT1, при котором каскад на транзисторе может выполнять функцию стабилизатора напряжения; Va — максимально необходимая амплитуда выходного сигнала усилителя. Как отмечалось выше, каскад на транзисторе VT1 остается работоспособным при ΔVS > 1,6 В. Если принять максимальное выходное напряжение для тестового синусоидального сигнала равным 0,775 В (0 дБ), то:
Va = 0,775√2 = 1,09 В.
VS = VoutR4/(R3+R4)–VEB,
(VS+ΔVS)/(R3+R4),
(6)
где ΔVS — это разность напряжения «коллектор-эмиттер» транзистора VT1, при котором каскад еще может выполнять функцию стабилизатора напряжения. Проверка показала, что каскад на транзисторе VT1 остается работоспособным при ΔVS не менее 1,4–1,6 В. Таким образом, ток делителя:
Id = (5+1,6)/(20+68) = 75 мкА. Условие, оговоренное выше, выполнено. Ток через удаленную нагрузку Rн (размещена в бортовом оборудовании) без сигнала будет равен: Ic+Iq(тип.)+Id+Ib = = 3,25+1,24+0,075+0,004 = 4,7 мА.
таким образом:
VS = 7,868/(20+68)–0,68 = 5,3 В. Это ожидаемый результат, в реальной ситуации VS будет несколько ниже, потому что формула не учитывает базовый ток транзистора VT1. Это важное замечание, для минимизации влияния базового тока необходимо, чтобы ток через делитель R3, R4 превышал базовый ток транзистора VT1 как минимум в 10 раз. Для рассматриваемой схемы базовый ток транзистора VT1 будет равен:
Таким образом, напряжение на коллекторе транзистора VT2 не должно быть менее чем:
Ib = Iq/hFE
Vout = 5+1,6+1,09 = 7,5 В.
и составит, соответственно, 1,6/400 = 4 мкА.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Минимальный ток через делитель R3, R4 будет равен:
(5)
Переменная составляющая напряжения на коллекторе VT1 блокируется конденсатором C4. Величина емкости этого конденсатора зависит от наименьшей рабочей частоты усилителя. Так, частота среза фильтра 1/2πR3C4 должна быть не менее чем в 10 раз ниже наименьшей рабочей частоты предусилителя. Резистор R1 и конденсатор С3 необязательны, но они дают некоторый позитивный эффект, так как образуют собой фильтр низких частот на входе стабилизатора напряжения. Рекомендуемая величина резистора R1 — 51 Ом, конденсатора С3 — 100 нФ. Поскольку максимальный ток потребления уже известен, вычислим оптимальное www.kite.ru
проектирование
148
схемотехника
Если рассматривать предлагаемое схемное решение без дополнительных фильтров (рис. 7), то АЧХ такого усилителя линейна в широком диапазоне частот и определяется только частотными свойствами входного усилителя на DA1-1 (рис. 8). Для схемы, приведенной на рис. 8, полоса пропускания по уровню –3 дБ составляет от 14 Гц до 20 кГц. Изменение емкости конденсатора С3 от 1 до 330 нФ практически не оказывает влияния на верхнюю частоту среза. Изменение емкости нагрузки от 0 пФ до 330 нФ также не оказывает в данной полосе частот влияния на верхнюю частоту среза. n
Литература
Рис. 8. АЧХ предварительного усилителя (рис. 7)
для рассматриваемого варианта сопротивление нагрузки выходного каскада предусилителя. Согласно формуле (4):
Rн = (15–7,8)/4,7 = 1,53 кОм. Номинал резистора Rн выбран 1,5 кОм. Представленное автором техническое решение было проверено на опытной партии из 28 предварительных усилителей, и результаты проверки полностью совпали как с расчетами, так и с компьютерной моделью. При внешней нагрузке 1,5 кОм коэффициент усиления в полосе пропускания составил 26 дБ. Напряжение на коллекторе VT2 составило (7,8±0,05) В, ток потребления при отсутствии сигнала был пределах 4,8 мА, максимальная амплитуда выходного сигнала превысила
новости
ожидаемую и составила 2 В. Подавление сигнала с частотой 10 Гц по цепи питания VS — не менее 40 дБ. Напряжение питания ОУ было в пределах 4,96–5,15 В. Устройство оказалось практически нечувствительно к емкости нагрузки и устойчиво работало при испытании в реальных условиях через двухпроводную линию связи длиной 120 м. При этом отношение «сигнал/шум» намного превышало аналогичные результаты прототипа, использовавшегося ранее в подобном оборудовании. Уровень шумов на выходе усилителя соответствовал разрешающей способности доступных средств измерения и определялся исключительно схемным решением входного усилителя, цепь питания не оказывала сколь-нибудь заметного влияния на этот параметр.
1. Электретный микрофон, Википедия. http:// ru.wikipedia.org/wiki 2. Урик Р. Дж. Основы гидроакустики: Пер. с англ. Л.: Судостроение, 1978. 3. http://ru.wikipedia.org/wiki/Измерительный_ усилитель 4. LM2931 Series Low Dropout Regulators, May 2004, Rev. July 2012, Texas Instruments Incorporated. http://www.ti.com/lit/ds/symlink/lm2931‑n.pdf 5. AD822 “Single-Supply, Rail-to-Rail Low Power FET-Input Op Amp.” Rev. 1 2010, Analog Devices, Inc. http://www.analog.com/static/imported-files/ data_sheets/AD822.pdf 6. Векслер Г. С., Штильман В. И. Транзисторные сглаживающие фильтры. М.: Энергия. 1979 г. 7. BC846 thru BC849 Small Signal Transistors (NPN) Vishay Semiconductors 09‑May‑02 http://www. datasheetcatalog.org/datasheet/vishay/85115.pdf 8. Рентюк В. Высокоэффективный генератор шума на базе стабилизатора напряжения // Компоненты и технологии. 2014. № 1. 9. Rentyuk V. Two-wire remote sensor preamp // EDN. Jan. 13, 2013.
блоки питания
Низковольтные модели в серии ZWS300BAF одноканальных источников питания от TDK-Lambda Корпорация TDK объявила о пополнении серии одноканальных источников питания TDK-Lambda ZWS300BAF моделями на 12 и 15 В с активной коррекцией коэффициента мощности (PFC). Благодаря универсальному вводу напряжения величиной от 85 до 265 В переменного тока срок службы E‑cap моделей серии ZWS300BAF увеличен до 10 лет, что обеспечивает высокую надежность при работе в полевых условиях. Эти модели наиболее активно применяются в промышленном оборудовании и оборудовании (front-end equipment) линий связи и теле- и радиовещании, а также в контрольно-измерительной аппаратуре. Помимо новых моделей с напряжением 12 и 15 В, в серии ZWS300BAF представлены ИП с выходным напряжением 24, 36 и 48 В, каждый из которых можно настроить под нестандартное напряжение систем, меняя выходное напряжение в диапазоне ±10% от номинала. Стандартные
характеристики включают защиту от перегрузки по току и напряжению, а также дополнительно, по желанию заказчика, дистанционное включение/отключение. При КПД, равном 91% (24 В), модели серии ZWS300BAF могут работать при температуре от –10 до +70 °C, что обеспечивает возможность работы со 100%-ной нагрузкой при температуре +45 °C (с конвективным охлаждением) и +60 °C — с охлаждением воздушным потоком со скоростью 0,7 м/с. Благодаря активному PFC, который отвечает требованиям стандарта EN/IEC61000-3-2, ИП ZWS300BAF обладают изоляцией между входом и выходом, рассчитанной на напряжение 3 кВ переменного тока, и соответствуют нормам EN55011/EN55022‑B, FCC-B, VCCI-B по кондуктивным и излучаемым помехам ЭМС. Эти модели также отвечают стандартам безопасности UL/CSA/EN60950-1 и EN50178 и имеют марки-
ровку качества CE, указывающую на соблюдение директив для низковольтного оборудования и экологичное производство по стандарту RoHS. Корпорация TDK-Lambda обеспечивает источники питания серии ZWS300BAF 5‑летней гарантией. www.tdk-lambda.ru
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
проектирование
150
САПР
TimingVision: новая технология Cadence, ускоряющая проектирование быстрых интерфейсов на печатных платах
Макс Максфилд (Max Maxfield) Перевод: Александр Акулин akulin@pcbtech.ru
Я
вспоминаю, как в середине 80‑х работал с одним из ранних редакторов печатных плат. Это была громоздкая профессиональная система с двумя массивными мониторами, клавиатурой и планшетом со стилусом. Один из мониторов — черно-белый — использовался для ввода текстовых команд, а второй — цветной — отображал проводники и переходные отверстия печатной платы. Единственным назначением всей этой махины была трассировка печатных плат. Но когда я говорю «она трас-
Рис. 1. Управление автоинтерактивным выравниванием
Компания Cadence анонсировала TimingVision [1] — новую технологию, встроенную в САПР печатных плат Allegro PCB Designer. Это потрясающе разумное решение позволяет сократить процедуру выравнивания длин сигналов в быстрых интерфейсах на печатных платах, таких как интерфейс памяти DDR3, на 60% и более. Иными словами, вы можете выполнить трассировку ваших скоростных интерфейсов за треть того времени, которое тратили раньше.
сировала печатные платы», я немного грешу против истины. На самом деле это оператор трассировал платы с ее помощью, вручную размещая отдельные сегменты проводников и переходные отверстия. В системе же не было ни возможностей автоматической трассировки, ни интерфейса drag-and-drop, ни механизма расталкивания. А функция масштабирования, конечно, присутствовала, но настолько медленная, что после ее запуска я спокойно отправлялся выпить чашечку кофе, дожидаясь ее завершения.
Разумеется, все проблемы трассировки печатных плат были в те дни гораздо легче, частоты тактовых сигналов — гораздо ниже, а интерфейсы — медленнее и проще, чем сегодня. Нынешние печатные платы сочетают в себе умопомрачительные тактовые частоты и невероятно сложные и жестко стандартизованные интерфейсы, такие как DDR2, DDR3, DDR4 и PCIe Gen1, Gen2, Gen3. Помимо этого, номиналы напряжений питания продолжают снижаться (от 1,8 к 1,5 В и даже к 1,2 В), что делает сигналы все более чувствительными к эффектам перекрестных помех и пульсациям по цепям питания. В конечном итоге разработчики лицом к лицу сталкиваются с безумно сложным набором требований к электрическим и физическим свойствам проектируемой печатной платы. Современные средства проектирования требуют довольно больших усилий для достижения соответствующих временны′ х задержек между сигналами, и немалой трудоемкости добавляет то, что взаимные зависимости и расхождения между группами сигналов должны быть рассчитаны разработчиком платы вручную. К тому же выравнивание задержек а) является итерационным процессом; б) разработчики плат многократно переключаются туда-сюда между проектом и менеджером ограничений; в) вы фиксируете одну трассу, затем фиксируете вторую, затем должны вернуться, чтобы еще раз подправить первую, и так далее, пока вся группа сигналов не будет выравнена по длине… Новая технология фирмы Cadence — TimingVision — упрощает решение всех этих задач. Изощренный механизм анализа задержек, встроенный в САПР Allegro PCB
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
САПР
Рис. 2. Автоинтерактивная подстройка фаз
Designer, анализирует взаимные зависимости между сигналами для определения целевых задержек и фаз, помогая разработчикам реализовать свою стратегию для выравнивания задержек. Визуальная обратная связь в проекте печатной платы показывает закодированную в цвете информацию о задержках и фазах сигналов: зеленый — это хороший, красный — слишком короткий, желтый — слишком длинный (цвета могут настраиваться пользователем). Сочетание анализа TimingVision с автоинтерактивными технологиями Cadence — автоинтерактивным выравниванием задержек
(AiDT) и автоинтерактивной подстройкой фаз (AiPT) — значительно уменьшает время и усилия, затраченные разработчиками печатных плат, в чем можно убедиться, рассмотрев иллюстрацию на рис. 1. Честно говоря, очень трудно целиком уместить в голове те сложные и комплексные требования, которые предъявляются сегодня к проектам печатных плат. Важно не только убедиться, что два сигнала, формирующие дифференциальную пару, имеют одинаковую длину, — вы также должны быть уверены, что фазы этих сигналов также выравнены с очень жесткими допуска-
проектирование
151
ми. Иллюстрация на рис. 2 показывает, как Allegro TimingVision помогает при автоинтерактивной подстройке фаз. По поводу обычных САПР печатных плат можно сказать, что они достаточно разумны, чтобы сообщить вам о каком-то нарушении, но не до такой степени, чтобы сфокусировать ваше внимание на конкретной области, в которой находится это нарушение. Например, как проиллюстрировано на рис. 3а, обычная система проверки правил (DRC) может показывать, что вся цепь целиком не соответствует требованию по статическому контролю набега фазы ±0,25 мм. В отличие от этого, технология Allegro TimingVision позволяет изолировать проблему и указать точное место, конкретную часть цепи, которая требует корректировки, чтобы выполнить заданное требование (рис. 3б). И еще несколько важных сведений о САПР Cadence Allegro. Пользователи системы моделирования Allegro Sigrity могут комбинировать функциональность TimingVision с анализом целостности сигналов (SI) с учетом качества системы питаний (PI), чтобы быстро реализовать и точно обеспечить совместимость со спецификациями скоростных интерфейсов, например интерфейсов памяти. Комбинация технологий Cadence позволяет вести многоступенчатую разработку продуктов: от интеллектуальных ядер (IP) к системам на кристалле (SoC) и затем от корпусов микросхем к печатным платам и системам — предсказуемо и эффективно с точки зрения затрат. n
Литература 1. http://www.eetimes.com/document.asp?doc_ id=1321314 2. www.pcbtech.ru
а
б
Рис. 3. а) Обычная DRC-система в САПР показывает «проблемную» цепь целиком; б) «умный» контроль набега фазы
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
www.kite.ru
152
новости
дисплеи
Малогабаритные OLED-дисплеи серии REC001602H для вывода текстовых сообщений
• • • • • • • • • •
Габаритные размеры: 122×44×10 мм. Видимая область экрана: 98×21 мм. Рабочая площадь: 91,14×18,98 мм. Размер пикселя: 0,9×1,06 мм. Шаг пикселя: 0,96×1,12 мм. Размер знакоместа: 4,74×8,9 мм. Тип панели: OLED с пассивно-матричной адресацией. Время отклика: 10 мкс. Угол обзора: >175° в вертикальной и горизонтальной плоскости. Интерфейс: – стандартный параллельный, совместимый с шинами 6800 или 8080 (опция); – последовательный SPI; – I2C. • Доступны модели с желтым, красным, синим, белым и зеленым цветами свечения. • Коэффициент мультиплексирования строк: 1/64. • Диапазон рабочих температур: от –40 до +80 °C. www.prosoft.ru
Реклама
Компания Raystar Optronics, Inc. выпустила алфавитно-цифровые двухстрочные дисплеи OLED серии REC001602H с 16 символами в одной строке. Они созданы на основе одной из технологий соединения кристалла драйвера с выводами на стеклянной подложке дисплея: Chip On Glass (COG). Это позволяет уменьшить габариты и стоимость дисплея. Дисплеи, изготовленные по технологии COG, широко применяются в портативных приборах. В моделях серии REС001602H использована микросхема драйвера RS0012, которая формирует управляющие напряжения, формирует изображение символа на основе информации, содержащейся в ПЗУ знакогенератора, и реализует внешний интерфейс. Система команд управления контроллера OLED-дисплеев RS0010 практически идентична набору популярного контроллера ЖК-дисплеев HD44780 (Hitachi). Контроллер типа RS0010 поддерживает наборы символов до четырех языков, в том числе кириллический шрифт. Это позволяет использовать одну и ту же серию дисплеев для локализации решения в различных странах и регионах. Высокий контраст OLED-дисплеев (2000:1) при относительно не очень высокой яркости (около 100 кд/м2) позволяет получать весьма качественное изображение на экране, которое воспринимается как яркое и отчетливое. OLED-дисплеи, которые основаны на органических светодиодах, обладают такими преимуществами, как оптимальное потребление энергии и компактная конструкция. Модель REС001602H является альтернативным исполнением модели REC001602B, которая имеет одинаковые габариты и рабочую площадь экрана, но меньшую видимую область экрана. Дисплеи серии REC001602H имеют лучшие показатели однородности яркости. Основные параметры REС001602H: • Организация: две строки по 16 символов.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
САПР
проектирование
153
Работа с виртуальными приборами в программной среде NI Circuit Design Suite — Multisim 12.0. Часть 5
Татьяна Колесникова
Характериограф-IV Характериограф предназначен для наблюдения и исследования характеристик радиоэлектронных устройств и компонентов, при этом измерительная информация отображается на экране в виде кривых. В Multisim характериограф-IV (I — ток, V — напряжение) используется для измерения вольт-амперных характеристик (ВАХ) диодов, pnp- и npn-транзисторов, PMOSи NMOS-устройств. При этом в отличие от других виртуальных приборов Multisim характериограф-IV подключается не к схеме, а непосредственно к исследуемому устройству. В том случае, когда необходимо произвести измерение параметров устройства, уже используемого в схеме, следует предварительно отключить его от схемы. Для того чтобы открыть лицевую панель прибора, нужно дважды щелкнуть левой кнопкой мыши по его пиктограмме на схеме. Рассмотрим лицевую панель характериографа-IV более подробно. В левой части панели расположен графический дисплей, предназначенный для графического отображения формы сигнала. Также прибор оснащен курсором для проведения измерений в любой точке гра-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Мы продолжаем серию публикаций о работе с виртуальными приборами в программной среде NI Circuit Design Suite — Multisim. Рассматриваемая в данном цикле программа представляет собой настоящую лабораторию схемотехнического моделирования, которая благодаря простому и удобному интерфейсу позволяет с легкостью моделировать сложные принципиальные схемы и проектировать многослойные печатные платы. Программная среда Multisim также предоставляет большое количество виртуальных инструментов, предназначенных для измерений и исследования поведения разрабатываемых электрических схем. Со многими из инструментов мы уже ознакомились в предыдущих статьях цикла. В настоящей статье мы более подробно остановимся на работе со следующими приборами: характериограф-IV, микрофон, динамик, генератор сигналов, анализатор сигналов, потоковый генератор сигналов, измеритель комплексных сопротивлений. Разработчикам электронных устройств виртуальные инструменты, представленные в Multisim, могут оказаться полезными — они сэкономят время и спасут от ошибок на всем пути разработки схемы.
фика, если необходимо, курсор надо перемещать при помощи левой кнопки мыши. Управлять положением курсора можно и при помощи стрелок перемещения вертикального курсора, которые расположены в нижней левой части лицевой панели характериографа-IV под графическим дисплеем. Между стрелками находятся три информационных поля, где отображаются данные, полученные на пересечении вертикального курсора и кривой. Кривую, для которой будут отображаться данные, можно выбрать при помощи щелчка по ней левой кнопкой мыши, в результате на пересечении вертикального курсора и кривой появится меткаточка (именно для той кривой, на которой находится метка-точка, и будут отображаться данные в информационных полях). В правой части лицевой панели прибора есть панель управления, предназначенная для настройки параметров характериографа-IV. В верхней части панели находится поле «Выбор компонента», в котором из выпадающего списка можно выбрать для анализа следующие компоненты: Diode, BJT PNP, BJT NPN, PMOS, NMOS. Под полем «Выбор компонента» расположены поля «Шкала тока (А)» и «Шкала на-
пряжения (V)», где можно задать параметры горизонтальной и вертикальной осей координат при логарифмической или линейной шкале. Переключение шкалы выполняется при помощи кнопок «Лог» (логарифмическая) и «Лин» (линейная). Масштаб горизонтальной (ось Х) и вертикальной (ось Y) осей определяется начальным («Н») и конечным («В») значениями. Под полем «Шкала напряжения (V)» размещены две кнопки. Кнопка «Экран» предназначена для инверсии цвета графического дисплея (черный/белый). Кнопка «Моделирование» используется для произведения настроек параметров моделирования. После нажатия на эту кнопку открывается окно «Параметры моделирования». Содержание данного диалогового окна меняется в зависимости от компонента, выбранного из выпадающего меню в поле «Выбор компонента», и подробно будет рассмотрено далее для каждого компонента в отдельности. В нижней правой части лицевой панели характериографа-IV имеется окно, отображающее схему подключения выбранного компонента к данному виртуальному прибору. Пример подключения характериографа-IV к PMOS-транзистору, ВАХ PMOS-транзисwww.kite.ru
154
проектирование
САПР
Рис. 1. Подключение характериографа-IV к PMOS-транзистору, ВАХ PMOS-транзистора и лицевая панель характериографа-IV
тора и лицевая панель данного прибора представлены на рис. 1. Измерение характеристик устройства производится следующим образом: • откройте лицевую панель характериографа-IV; • в верхней правой части лицевой панели в поле «Выбор компонента» из выпадающего меню выберите нужный компонент; • выберите из библиотеки компонентов данный компонент, поместите его в рабочую область проекта и присоедините к характериографу-IV, следуя схеме в нижней правой части лицевой панели прибора; • на панели управления характериографаIV установите нужные настройки в полях «Шкала тока (А)» и «Шкала напряжения (V)»; • при необходимости вы можете инвертировать цвет графического экрана при помощи кнопки «Экран»; • при помощи кнопки «Моделирование» откройте окно «Параметры моделирования» и установите необходимые параметры, после чего нажмите на кнопку ОК для закрытия диалогового окна и вступления в силу внесенных изменений; • запустите симуляцию проекта. В результате выполненных действий на лицевой панели характериографа-IV в окне графического дисплея рассматриваемого прибора будут получены IV-кривые для анализируемого компонента. Рассмотрим диалоговое окно «Параметры моделирования» для PMOS-транзистора (рис. 2). Данное окно открывается в результате выбора на панели управления характериографа-IV в поле «Выбор компонента» пункта «PMOS» и нажатия на кнопку
Рис. 2. Диалоговое окно «Параметры моделирования» для PMOS-транзистора
Рис. 4. Пример подключения характериографа-IV к диоду и ВАХ компонента
«Моделирование». В левой части окна находится поле «Источник: V_ds», в котором можно установить следующие значения V_ds (напряжение сток-исток): • начальное значение V_ds; • конечное значение V_ds; • значение шага V_ds (полученные точки будут использованы для построения графика). В правой части окна расположено поле «Источник: V_gs», в котором можно установить следующие значения V_gs (напряжение затвор-исток): • начальное значение V_ gs; • конечное значение V_ gs; • число шагов V_ gs (количество кривых на графике). Установка/снятие флажка в чекбоксе «Нормализация» отображает значения V_ds на кривых по оси Х с положительными/отрицательными значениями. Теперь перейдем к диалоговому окну «Параметры моделирования» для диода (рис. 3). Данное окно открывается в результате выбора на панели управления характериографа-IV в поле «Выбор компонента» пункта «Diode» и нажатия на кнопку «Моделирование». В левой части окна находится поле «Источник: V_pn», в котором можно установить следующие значения V_pn: • начальное значение V_pn; • конечное значение V_pn; • значение шага V_pn (полученные точки будут использованы для построения графика). На рис. 3 представлен пример диалогового окна «Параметры моделирования», согласно с заданными параметрами которого напряжение диода будет изменяться от –5 до +5 В
Рис. 3. Пример диалогового окна «Параметры моделирования» для диода
с шагом в 10 мВ. Пример подключения характериографа-IV к диоду и ВАХ данного компонента представлены на рис. 4. Рассмотрим диалоговое окно «Параметры моделирования» для NMOS-транзистора (рис. 5). Данное окно открывается в результате выбора на панели управления характериографа-IV в поле «Выбор компонента» пункта «NMOS» и нажатия на кнопку «Моделирование». В левой части окна находится поле «Источник: V_ds», где можно установить следующие значения V_ds (напряжение сток-исток): • начальное значение V_ds; • конечное значение V_ds; • значение шага V_ds (полученные точки будут использованы для построения графика). В правой части окна расположено поле «Источник: V_gs», в котором можно установить следующие значения V_gs (напряжение затвор-исток): • начальное значение V_ gs; • конечное значение V_ gs; • число шагов V_ gs (количество кривых на графике). На рис. 5 представлен пример диалогового окна «Параметры моделирования», согласно с заданными параметрами которого для получения ВАХ NMOS-транзистора напряжение сток-исток (V_ds) будет изменяться от 0 до 14 В с шагом в 120 мВ, а напряжение затвор-исток (V_ gs) — от 3,5 до 5 В. Пример подключения характериографа-IV к NMOS-транзистору и ВАХ данного компонента показаны на рис. 6. Количество кривых на ВАХ соответствует установленному в поле «Источник: V_gs» значению параметра «Приращение» (рис. 5) — в нашем случае значение равно пяти.
Рис. 5. Диалоговое окно «Параметры моделирования» для NMOS-транзистора
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
САПР
Рис. 6. Пример подключения характериографа-IV к NMOS-транзистору и ВАХ компонента
Рис. 7. Диалоговое окно «Параметры моделирования» для pnp-транзистора
На рис. 7 представлен пример диалогового окна «Параметры моделирования» для pnp-транзистора. Данное окно открывается в результате выбора на панели управления характериографа-IV в поле «Выбор компонента» пункта «BJT PNP» и нажатия на кнопку «Моделирование». В левой части окна находится поле «Источник: V_ce», в котором можно установить следующие значения V_ce (напряжение коллектор-эмиттер): • начальное значение V_се; • конечное значение V_се; • значение шага V_се (полученные точки будут использованы для построения графика). В правой части окна расположено поле «Источник: I_b», в котором можно установить следующие значения I_b (ток базы): • начальное значение I_b; • конечное значение I_b; • число шагов I_b (количество кривых на графике).
проектирование
155
Рис. 8. Пример подключения характериографа-IV к pnp-транзистору и ВАХ компонента
Установка/снятие флажка в чекбоксе «Нормализация» отображает значения V_се на кривых по оси Х с положительными/отрицательными значениями. Пример подключения характериографа-IV к pnp-транзистору и ВАХ данного компонента представлены на рис. 8. На рис. 9 можно видеть пример диалогового окна «Параметры моделирования» для npn-транзистора. Окно открывается в результате выбора на панели управления характериографа-IV в поле «Выбор компонента» пункта «BJT NPN» и нажатия на кнопку «Моделирование». В левой части окна находится поле «Источник: V_ce», в нем можно установить следующие значения V_ce (напряжение коллектор-эмиттер): • начальное значение V_се; • конечное значение V_се; • значение шага V_се (полученные точки будут использованы для построения графика).
Рис. 9. Диалоговое окно «Параметры моделирования» для npn-транзистора
В правой части окна расположено поле «Источник: I_b», в котором можно установить следующие значения I_b (ток базы): • начальное значение I_b; • конечное значение I_b; • число шагов I_b (количество кривых на графике). Пример подключения характериографа-IV к npn-транзистору и ВАХ данного компонента показаны на рис. 10. Представленный пример демонстрирует график ВАХ для отображения I_b = 1 мA, от 1 до 735 мA по оси Y, и от 1 мВ до 3,3 В по оси Х.
Приборы NI LabView В состав Multisim входят следующие приборы LabView: • BJT Analyzer — измеритель характеристик полупроводниковых приборов; • Impedance Meter — измеритель комплексных сопротивлений; • Microphone — микрофон; • Speaker — динамик; • Signal Analyzer — анализатор сигналов; • Signal Generator — генератор сигналов; • Streaming Signal Generator — потоковый генератор сигналов. Некоторые из них мы рассмотрим далее. Пиктограмма «Приборы LabView» расположена на панели инструментов «Приборы». Возле пиктограммы находится значок стрелки, нажатие которого приводит к открытию выпадающего меню (рис. 11). Необходимый для работы прибор можно выбрать в данном меню при помощи левой кнопки мыши. Микрофон Микрофон в Multisim записывает звук при помощи устройств аудиозаписи компьютера
Рис. 10. Пример подключения характериографа-IV к npn-транзистору и ВАХ компонента
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 11. Меню «Приборы LabView»
www.kite.ru
156
проектирование
Рис. 12а. Пиктограмма на схеме и лицевая панель микрофона
и выдает эти данные как источник сигнала, который Multisim использует в процессе симуляции. Перед началом симуляции необходимо произвести настройку параметров микрофона и записать звук. Рассмотрим подробнее работу с таким виртуальным прибором. Настройка параметров микрофона производится на его лицевой панели, которую можно открыть при помощи двойного щелчка левой кнопкой мыши по пиктограмме данного прибора в рабочем поле программы. Пиктограмма микрофона на схеме и его лицевая панель представлены на рис. 12а. В верхней части лицевой панели находится поле Device, где из выпадающего списка необходимо выбрать аудиоустройство, при помощи которого будет производиться запись звука, — это может быть встроенный либо внешний микрофон. Также аудиоустройство можно назначить путем ввода с клавиатуры номера устройства в поле ввода, расположенное рядом с выпадающим списком. В поле Recording duration (s) можно задать продолжительность записи в секундах. В поле Sample Rate при помощи установки переключателя в нужную позицию следует задать частоту дискретизации, диапазон значений которой составляет от 11 025 до 96 000 Гц. Необходимо отметить, что чем больше значение частоты дискретизации, тем выше качество выходного сигна-
САПР
Рис. 12б. Пиктограмма на схеме и лицевая панель динамика
ла. Установленный флажок в чекбоксе Repeat Recorded Sound дает команду Multisim повторять записанный сигнал до тех пор, пока не будет остановлена симуляция. В том случае, если флажок снят, по истечении времени проигрывания записанного сигнала Multisim будет продолжать симуляцию, однако выходной сигнал примет значение 0 В. В нижней части лицевой панели микрофона находится кнопка Record Sound, предназначенная для записи звука. После окончания записи микрофон сможет выводить записанный звук в виде звукового напряжения, которое можно будет использовать как источник сигнала. Динамик Динамик в Multisim проигрывает входящие звуковые данные (звуковое напряжение) при помощи звуковой карты компьютера. Перед началом симуляции необходимо произвести настройку параметров динамика. Звук проигрывается после остановки симуляции. Рассмотрим подробнее работу с данным виртуальным прибором. Настройка параметров динамика выполняется на его лицевой панели, которую можно открыть при помощи двойного щелчка левой кнопкой мыши по пиктограмме данного прибора в рабочем поле программы. Пиктограмма динамика на схеме и его лицевая панель представлены на рис. 12б. В верхней части лицевой панели
Рис. 12в. Пиктограмма на схеме и лицевая панель генератора сигналов
находится поле Device, где из выпадающего списка необходимо выбрать устройство, при помощи которого будет воспроизводиться звук, — это может быть встроенный динамик либо внешние колонки. Устройство воспроизведения звука можно назначить и путем ввода с клавиатуры номера устройства в соответствующее поле, расположенное рядом с выпадающим списком. В поле Playback duration (s) задаем продолжительность воспроизведения в секундах. В поле Sample Rate (Hz) необходимо ввести с клавиатуры значение частоты дискретизации в герцах. Необходимо отметить, что если вы предполагаете использовать динамик совместно с микрофоном, то частота дискретизации динамика и микрофона должна быть одинаковой. В противном случае частоту дискретизации следует установить вдвое больше частоты входного сигнала. В нижней части лицевой панели рассматриваемого прибора находится кнопка Play Sound, предусмотренная для воспроизведения звука. Операция станет возможной после сбора динамиком входных данных. Для этого необходимо запустить симуляцию схемы — по ее окончании кнопка Play Sound станет активной, что означает готовность к воспроизведению звуковых данных, которые динамик запомнил в процессе симуляции. Генератор сигналов Генератор сигналов генерирует синусоидальный, треугольный, прямоугольный и пилообразный сигналы. Настройка параметров прибора производится на его лицевой панели. Пиктограмма генератора сигналов на схеме и его лицевая панель представлены на рис. 12в. В левой верхней части панели расположено окно Signal Information, в котором можно задать: • тип сигнала в поле Signal type; • частоту в поле frequency; • длительность прямоугольного импульса в поле square wave duty cycle (%); • амплитуду в поле amplitude; • начальную фазу в поле phase; • смещение постоянной составляющей в поле offset. Генератор сигналов позволяет формировать на его выходе ограниченный по длительности радиоимпульс. Число периодов сигнала в радиоимпульсе задается частотой дискретизации и числом отсчетов, которые можно ввести в окне Sampling Info в полях Sampling Rate (Hz) и Number of Samples соответственно. В правой части лицевой панели генератора сигналов находится графический дисплей, предназначенный для графического отображения формы сигнала. В левом нижнем углу лицевой панели находится чекбокс Repeat Data. Установленный в данном чекбоксе флажок дает команду Multisim повторять радиоимпульс до тех пор, пока не будет остановлена симуляция. В том случае, если флажок снят, по истечении дли-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
САПР
тельности радиоимпульса Multisim будет продолжать симуляцию, однако выходной сигнал примет значение 0 В. Прибор генерирует выходные данные после запуска симуляции схемы. Анализатор сигналов Анализатор сигналов в Multisim предназначен для получения, анализа и отображения таких данных, как временной профиль, энергетический спектр или бегущее среднее входящего сигнала. Пиктограмма анализатора сигналов на схеме и его лицевая панель показаны на рис. 12г. В верхней части лицевой панели находится три поля: • Analysis Type; • Sampling Rate (Hz); • Interpolation Method, в которых посредством ввода с клавиатуры или при помощи стрелок переключателей задаются необходимые значения. В нижней части лицевой панели анализатора сигналов расположен графический дисплей, предназначенный для графического отображения формы сигнала. Прибор генерирует выходные данные после запуска симуляции схемы.
Рис. 12г. Пиктограмма на схеме и лицевая панель анализатора сигналов
Потоковый генератор сигналов В Multisim потоковый генератор сигналов, так же как и генератор сигналов, генерирует синусоидальный, треугольный, прямоугольный и пилообразный сигналы. Потоковый генератор сигналов формирует на выходе непрерывный сигнал, в то время как генератор сигналов позволяет формировать ограниченный по длительности радиоимпульс. Настройка параметров рассматриваемого прибора производится на его лицевой панели. Пиктограмма потокового генератора сигналов на схеме и его лицевая панель представлены на рис. 12д. В левой верхней части панели расположено окно Signal Information, в котором можно задать:
Рис. 12д. Пиктограмма на схеме и лицевая панель потокового генератора сигналов
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
проектирование
157
• тип сигнала в поле Signal type; • частоту в поле frequency; • длительность прямоугольного импульса в поле square wave duty cycle (%); • амплитуду в поле amplitude; • начальную фазу в поле phase; • смещение постоянной составляющей в поле offset. В нижней левой части лицевой панели прибора находится поле Sampling Rate (Hz), где можно задать частоту дискретизации. В правой части лицевой панели потокового генератора сигналов находится графический дисплей, предназначенный для графического отображения формы сигнала. Прибор генерирует выходные данные после запуска симуляции схемы до тех пор, пока не будет нажата кнопка «Стоп». Измеритель комплексных сопротивлений Измеритель комплексных сопротивлений предназначен для измерения комплексных параметров цепей на различных частотах или комплексного сопротивления. В Multisim этот прибор позволяет измерять активную, реактивную и полную величину комплексного сопротивления. Пример подключения измерителя комплексных сопротивлений к схеме и его лицевая панель показаны на рис. 13. Перед началом симуляции необходимо настроить параметры данного прибора. Для этого откройте его лицевую панель и в окне Frequency sweep задайте в полях Start и Stop соответственно начальную и конечную частоту диапазона анализа. В нижней левой части лицевой панели расположено окно Output Options, в котором находятся два поля: • Number of Points — число точек анализа; • Scale Type — закон изменения частоты; это поле может принимать значения: – Linear — диапазон исследуемых частот делится на одинаковые участки; – Decade — измерение производится на каждой декаде в таком количестве точек, которое задано для измерения; – Octal — измерения проводятся поочередно на промежутках частот, отличающихся на октаву. Результаты измерений отображаются после запуска симуляции в таблице, помещенной в правой части лицевой панели прибора. Таблица содержит четыре поля: • f (Hz) — частота развертки; • R (ohm) — активное сопротивление; • X (ohm) — реактивное сопротивление; • |Z| (ohm) — полное сопротивление. В нижней части лицевой панели прибора находится чекбокс Clear Data when Simulation Starts. В том случае, если в данном чекбоксе установлен флажок, после каждого запуска симуляции таблица результатов будет обновляться, при этом старые результаты измерений
Рис. 13. Пример подключения измерителя комплексных сопротивлений к схеме и его лицевая панель
www.kite.ru
проектирование
158
САПР
будут удалены. Если флажок снят — новые данные измерений будут добавляться в конец таблицы (причем старые данные доступны для просмотра).
Заключение Использование программной среды Multisim при разработке электронных устройств позволяет значительно сократить сроки их отладки, ведь очевидно, что процесс моделирования в программной среде, при котором есть возможность проводить виртуальные испытания разработанной схемы, намного проще и экономичнее, чем проведение таких же испытаний на реальном макете. Кроме
новости
того, использование данной программы моделирования позволяет существенно ускорить разработку сложных схем. n
Литература 1. NI Circuit Design Suite — Getting Started with NI Circuit Design Suite, National Instruments, January 2012. 2. Технология виртуальных приборов компании National Instruments, National Instruments, 2013. 3. NI Multisim — Fundamentals, National Instruments, January 2012. 4. PROFESSIONAL EDITION RELEASE NOTES NI Circuit Design Suite Version 12.0.1, National Instruments, 2012.
события
Итоги Международной выставки «Фотоника. Мир лазеров и оптики 2014» Крупнейшее отраслевое событие инновационной направленности — 9‑я Международная специализированная выставка «Фотоника. Мир лазеров и оптики 2014», проходившая с 25 по 27 марта в ЦВК «Экспоцентр» (Москва), вызвала большой интерес в профессиональной и бизнес-среде, а также у представителей властных структур. Выставка была организована ЗАО «Экспоцентр» в сотрудничестве с Лазерной ассоциацией. За высокий уровень организации проект «Фотоника. Мир лазеров и оптики» удостоен знаков Всемирной ассоциации выставочной индустрии (UFI) и Российского союза выставок и ярмарок (РСВЯ). В этом году количественные показатели выставки превысили прошлогодние. В ней приняли участие 165 компаний из 12 стран: Белоруссии, Германии, Дании, Китая, Литвы, Нидерландов, России, США, Украины, Франции, Швейцарии и Швеции. Производители Германии и Китая продемонстрировали свои разработки в рамках национальных стендов. Площадь экспозиции 2014 года составила 1897 кв. м нетто, достигнув своего исторического максимума. Отечественные предприятия не уступали зарубежным по уровню разработок: более 90 российских компаний и предприятий представили свою продукцию. Разделы экспозиции были посвящены лазерным источникам излучения и комплектующим оптическим материалам, технологиям их обработки; оптическим элементам, оптоволоконной технике; приборам ночного видения, оптоэлектронике, нанофотонике; биомедицинскому оборудованию на основе фотонных технологий; лазерной медицине; фотоэлектронике и многому другому. Программу деловых мероприятий открыло совместное заседание межведомственной рабочей группы по фотонике при Минпромторге России и координационного комитета техплатформы «Фотоника». На пленарном заседании III Конгресса технологической платформы «Фотоника» были рассмотрены специфические вопросы развития отрасли. Прозвучали доклады «Оптоволоконная техника — состояние и перспективы», «История и современные тенденции создания приборов регистрации и контроля оптического излучения», «Голографические технологии в оптике и лазерной технике». В рамках выставки прошло 11 научно-практических конференций. Их тематика говорит о безграничном потенциале лазерно-оптических технологий, которые сегодня охватывают практически все сферы деятельности современного общества. На конференциях были выдвинуты предложения по созданию в рамках действующей государственной программы «Развитие промышленности и повышение ее конкурентоспособности» подпрограммы «Фотоника». Эту работу осуществляют 11 тематических рабочих групп по разным направлениям использования лазерно-оптических технологий.
Большой интерес у специалистов вызвали выступления на конференциях «Элементарная база фотоники», «Научное приборостроение», «Лазерные информационные системы», «Лазерные технологии и методики в промышленности», «Контроль оптического излучения», «Фотоника в навигации и геодезии», «Лазерные диоды, светодиоды, фотонные нанотехнологии», «Фотоника в сельском хозяйстве и природопользовании», «Оптикоэлектронные технологии». Состоялась также презентация Евразийской техплатформы «Фотоника». Об идее ее создания рассказал президент Лазерной ассоциации Иван Ковш. В качестве первого совместного проекта предложена разработка концепции ускоренного освоения высокоэффективных технологий фотоники в реальном секторе экономики стран — участниц ЕврАзЭС. Учитывая необходимость иметь общую правовую базу, вторым совместным проектом станет разработка общего регламента по товарной безопасности — без чего невозможно производство, продажа и использование любой техники. Как отметил президент Лазерной ассоциации, предлагается создать межреспубликанский центр лазерных агротехнологий для широкого освоения высокоэффективных, экологически чистых, нехимических методов повышения урожайности, устойчивости растений к болезням, трудным климатическим условиям и т. п. В рамках Евразийской техплатформы «Фотоника» планируется организовать производство и разработку лазерных технологических установок для широкого использования в машиностроении и ремонтном обслуживании. В время работы выставки прошли XIX съезд Лазерной ассоциации и круглый стол «Региональные лазерные центры — опыт и перспективы». Представители ассоциации подвели итоги конкурса на лучшую отечественную разработку в области лазерной аппаратуры и лазерных технологий в 2014 году, отметив победителей наградами. На выставке состоялось подведение итогов первого открытого конкурса гражданских инновационных проектов организаций ОПК России (отраслевой этап «Фотоника»). Совместное жюри ГК «Ростехнологии» и Лазерной ассоциации признало победителями четыре инновационных проекта. I место разделили компании «Мостком» и «Т8». На II месте — НПФ «Прибор-Т» СГТУ им. Ю. А. Гагарина. На III месте — НИИ «Теплоприбор». Выставка «Фотоника. Мир лазеров и оптики 2014» вызвала огромный интерес у профессионалов отрасли. За три дня ее посетили более 9400 человек. Следующая выставка «Фотоника. Мир лазеров и оптики» пройдет с 24 по 26 марта 2015 года в ЦВК «Экспоцентр» (Москва). Она отметит свой 10‑летний юбилей. 2015 год объявлен Генеральной Ассамблеей ООН Годом света и технологий, основанных на свете, фактически — Годом фотоники. www.photonics-expo.ru
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
160
проектирование
моделирование работы
Асинхронные конечные автоматы в импульсных источниках питания
Виктор Сафронов, к. т. н. vik.saf@yandex.ru
Считается очевидным, что в схемотехнике импульсных источников питания все, что можно было изобрести, уже изобрели. По этой же причине считается невероятным придумать что-либо новое в этой области… Но на самом деле это не так. В качестве примера можно привести контроллер Intersil Ziler Labs ZL2008 [1]. В статье подробно изложен математический синтез цифровых схем на примере двух асинхронных автоматов, тактируемых внешним генератором с регулируемой частотой, реализующих простые, но эффективные алгоритмы, расширяющие диапазон регулирования выходного напряжения (или тока) импульсных источников питания.
Введение С развитием цифровой микроэлектроники ее все чаще стали использовать в нетрадиционных областях. Так вытесняются аналоговые методы регулирования в импульсных источниках питания и устройствах зарядки аккумуляторов [3] цифровыми алгоритмами [2] и Smartтехнологиями [1]. Многие из них относятся к технологиям Know-How. Самыми серьезными недостатками импульсных источников питания остаются пульсация выходного напряжения и, как следствие, создаваемые ими относительно сильные радиопомехи приемным устройствам. Особенно это относится к мощным импульсным источникам питания. С этими недостатками обычно борются, применяя на выходе источника питания фильтры с большими номиналами электролитических конденсаторов. Но это не самый радикальный способ. Есть способ лучше. Например, еще в микросхеме 142ЕП1 (СССР) был применен метод синхронизации частоты срабатываний встроенного порогового устройства (компаратора) с внешней частотой системного тактового генератора. Микросхема работала в режиме ШИМ и при этом оказывала на всю систему гораздо меньшее влияние радиопомехами по цепям питания, чем обычные импульсные источники питания с той же мощностью. Похожий метод синхронизации до сих пор применяется для «вертикального управления» в тиристорных регуляторах переменного напряжения, имеющих в своем составе датчик перехода входного напряжения через ноль («нуль-орган»).
Зачем импульсному источнику питания нужен цифровой автомат? Эту статью можно рассматривать как продолжение материала, посвященного математическим методам синтеза конечных цифровых автоматов, опубликованного автором в [2], но теперь на примере алгоритмов управления выходным силовым ключом импульсного источника питания. Рассмотрим, с применением таблиц переходов и карт Карно-Вейча, два варианта синтеза логических управляющих автоматов (ЛУА) для понижающих импульсных источников питания. Их цели: • тактирование сигнала встроенного компаратора внешним генератором с регулируемой частотой прямоугольных импульсов;
Рис. 1. Функциональная схема
• безопасное регулирование и стабилизация в широком диапазоне формируемого выходного напряжения (или тока) изменением частоты внешнего тактового генератора. Функциональная схема, показанная на рис. 1, совмещает в себе оба варианта и поясняет их работу. Она содержит привычные элементы для понижающих импульсных источников питания: транзисторный ключ, импульсный диод, дроссель и конденсатор фильтра. Дополнительно в выходной цепи установлен либо датчик (компаратор) выходного напряжения на нагрузке Rlod, измеряющий напряжение между контактом ComU и общим выводом, либо датчик (компаратор) тока в Rдат, измеряющий напряжение между выводами ComI и ComU. Аналогичные компараторы можно найти в составе адаптивного контроллера Intersil Ziler Labs ZL2008 [1]. Компаратор напряжения используется при формировании регулируемого стабильного выходного напряжения на нагрузке Rlod, например для питания электронной аппаратуры, а компаратор тока — для формирования выходного тока в Rlod, например для питания сервисных электродвигателей в следящих приводах. Первый вариант ЛУА В этом варианте реализуется так называемый частотно-импульсный метод модуляции (ЧИМ): каждый поступающий на вход схемы ЛУА синхросигнал (f = 1) запускает ключ, при условии, что компаратор к тому моменту еще не сработал (com = 0), то есть значение выходного
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
моделирование работы
напряжения (или тока) не достигло заданной величины срабатывания компаратора. При простоте схемы высокая частота следования синхроимпульсов (f) может обеспечить достаточно хорошее качество выходного питания. Рассмотрим полный процесс синтеза схемы ЛУА. На рис. 2 приведена таблица состояний и переходов, описывающая реакцию асинхронного последовательностного ЛУА на входные сигналы управления «f» и «com».
а
Рис. 4. а) Сжатая таблица переходов для автомата Мура; б) таблица кодирования МС-состояний
N+(L,N), Y(N),
Переходы (рис. 2) очевидны, но все-таки следует пояснить назначения некоторых из них: • [1-2-1…] и [4-5-4…] защищают выход из-за нарушения последовательности или неисправности при формировании сигнала синхронизации — «зависании» на уровне «лог. 0» или «лог. 1». • [1-3-6-3…] форсирует нарастание выходного напряжения (тока) на выходе до назначенного уровня срабатывания компаратора. • [1-3-4-2-1…] и [1-3-6-2-1…] — установившиеся нормальные режимы работы. Для процедуры сжатия таблицы построим карту финальных пар состояний (рис. 3).
Рис. 3. Карта финальных пар состояний (для автомата Мура)
Рис. 6. Карты Карно-Вейча для кодирующих переменных z, y
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
161
б
Из этой карты следуют максимальные совместимые множества состояний MC{(1-2), (2-4-5), (3-6)}, причем состояние «2» альтернативно входит как в МС1(1-2), так и в МС2 (2-4-5). Особенность карты на рис. 2 заключается в том, что объединение состояний в МС возможно только по условиям автомата Мура, то есть:
Рис. 2. Исходная таблица состояний и переходов ЛУА
проектирование
где L — состояние входа; N — текущее внутреннее состояние автомата; Y(N) — состояние выхода. Минимального покрытия (рис. 3) для автомата Мили не существует, вернее, в данном случае состояния совпадают. Теперь можно построить сжатую таблицу переходов и назначить кодирование МСсостояний (рис. 4). В сжатой таблице переходов во втором столбце (com & not(f)) через дробную черту в знаменателях показаны альтернативные переходы между подмножествами МС1(1-2), МС2(2-4-5) и МС(3-6). Будем далее использовать именно эти альтернативные переходы, так как в таблице кодирования они позволяют выполнить «не соседний» переход из состояния «2» в состояние «3» без критических последовательностных гонок (рис. 4, столбец [com & not(f)] таблицы переходов) и максимально упростить карты Карно-Вейча.
Рис. 5. Окончательная таблица переходов
Рис. 7. Электрическая схема частотно-импульсного ЛУА
С учетом выбранного кодирования строим окончательную таблицу переходов (рис. 5). По рис. 5 строим карты Карно-Вейча (рис. 6) для кодирующих переменных (y, z) и выделяем минтермы, одновременно минимизируя обе карты. При этом выгодно выписать уравнение не для переменной y, а для ее инверсии:
Теперь можно изобразить электрическую схему ЛУА (рис. 7), реализующую эту систему уравнений на логических элементах, например 555ЛА3, 555ЛР11 (СССР) или их аналогах SN74LS00 и SN74LS51. Второй вариант ЛУА Этот вариант отличается от первого тем, что реализует ШИМ — широтно-импульсную (а не ЧИМ — частотно-импульсную) модуляцию. Особенность схемы еще и в том, что длительность «лог. 1» ШИМ никогда не превышает длительность «лог. 1» синхронизирующего сигнала любой частоты. Поэтому, изменяя скважность синхросигнала, можно ограничивать максимально возможное напряжение, развиваемое на выходе источника питания. В остальном поведение схемы похоже на поведение схемы в первом варианте. На рис. 8 приведена таблица состояний и переходов, описывающая реакцию асинхронного последовательностного ЛУА на входные сигналы управления f и com.
Рис. 8. Исходная таблица состояний и переходов ЛУА
www.kite.ru
проектирование
162
моделирование работы
а
Рис. 9. Карта финальных пар состояний (по Мили)
Переходы (рис. 8) очевидны, но все-таки следует пояснить некоторые из них: • [1-3-4-5-4…] и [1-2-1…] отключают выход источника при «зависании» сигнала синхронизации на уровне «1» или «0». • [1-3-1…] штатно формирует период ШИМ. • [1-3-4-2-1…] и [1-3-4-5-1…] — последовательность состояний при установившихся нормальных режимах работы. Для сжатия таблицы (рис. 8) построим карту финальных пар состояний (рис. 9). Из этой карты по условиям Мили, то есть N + (L,N) и Y(L,N), следуют максимальные совместимые множества состояний MC{(1-2-3), (2-4-5)}, причем состояние «2» альтернативно входит как в МС1 (1-2-3), так и в МС2 (2-4-5). Теперь можно построить сжатую таблицу переходов и назначить кодирование МС-состояний (рис. 10). Здесь в столбце таблицы (com & not(f)) через дробную черту (в знаменателях) показаны альтернативные переходы между МС1 (1-2-3) и МС2 (2-4-5). Далее будем ис-
Рис. 12. Карты Карно-Вейча
новости
б
Рис. 10. а) Сжатая таблица переходов для автомата Мили; б) таблица кодирования МС-состояний
пользовать переходы, указанные в знаменателях. Именно они позволяют максимально упростить карты Карно-Вейча. По рис. 11 строим карты Карно-Вейча (рис. 12) для кодирующей переменной (z) и выходной переменной (y). Выделяем минтермы, одновременно минимизируя обе таблицы. Для этого выгодно выписать не переменную y, а ее инверсию:
Рис. 11. Сжатая таблица переходов состояний
Заключение Тема совершенствования схем импульсных источников питания не только не закрыта, а наоборот, становится все более актуальной с повышением полезной мощности источников питания и расширением возможностей технологий микроэлектроники и цифровых методов управления. Однако многие фирмы-разработчики относят все сведения к области Know-How. n
Литература
Теперь по картам Карно-Вейча (рис. 12) можно изобразить электрическую схему ЛУА (рис. 13), реализующую эту систему уравнений на логических элементах. Следует обратить внимание на то, что на входе схемы используется инверсный сигнал компаратора NOT(COM), а на выходе формируется инверсный сигнал NOT(Y).
1. Лукашевич Д. Цифровое управление питанием приходит на смену аналоговому // Электронные компоненты. 2011. № 6. 2. Сафронов В. Практика математического синтеза микропрограммных управляющих автоматов на основе ПЗУ и ПЛМ // Компоненты и технологии. 2014. № 1. 3. Охрименко В. Интеллектуальные контроллеры зарядки аккумуляторов // Электронные компоненты. 2011. № 6.
Рис. 13. Электрическая схема ЛУА, например на логическом элементе 555ЛА3 (СССР) или его аналоге SN74LS00
блоки питания
Высокоэффективные источники питания ECP180 XP POWER со сверхнизким потреблением в режиме «без нагрузки» Компания XP Power анонсировала новую серию ECP180 источников питания (ИП) в низкопрофильном исполнении 50,5×101,6×25,4 мм. ИП имеют мощность 180 Вт при меньшей на 46% площади печатной платы по сравнению со стандартными размерами 3×5″. КПД достигает высокого значения — до 95% при низком потреблении в режиме «без нагрузки» — всего 0,5 Вт, что соответствует требованиям международной программы энергосбережения Green Power. Линейка ECP180 представлена шестью моделями с выходными напряжениями 12, 15, 24, 28, 36 и 48 В.
Все они имеют дополнительный выход для подключения внешнего вентилятора 12 В/0,5 А. Изделия сертифицированы по стандартам ANSI/AAMI: ES60601-1, EN60601-1 и IEC60601-1 для медицинского и ITE60950-1 — для ИТ-применений, там где требуется высокая надежность и качество в совокупности с превосходными параметрами энергосбережения. Производитель предоставляет на устройства трехлетнюю гарантию. www.gamma.spb.ru
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
164
новости
блоки питания
6‑Вт DC/DC-преобразователи в ультракомпактном корпусе SIP‑8 от XP Power Компания XP Power объявила о начале выпуска 6‑Вт DC/DC-преобразователей серии ITX, выполненных в стандартном корпусе SIP‑8 с габаритными размерами 21,85×11,1×9,2 мм. Модели серии ITX отличаются наивысшим значением удельной мощности 2685 Вт/дм3 среди преобразователей, выполненных в корпусе SIP‑8, и занимают меньшую площадь печатной платы по сравнению с конкурирующими устройствами. Преобразователи ITX отличаются высокой эффективностью: типичное значение КПД составляет 86%, что соответствует требованиям к конструкции современных энергоэффективных и требовательных к объему применений. Серия ITX включает одно- и двухканальные модули с четырьмя диапазонами входных на-
пряжений (2:1) — 4,5–9; 9–18; 18–36 и 36–75 В. Одноканальные модели обеспечивают номинальные значения напряжений 3,3; 5; 12; 15 и 24 В, а двухканальные — ±5, ±12 и ±15 В. Гальваническая развязка между первичной и вторичной цепью составляет 1500 В (постоянный ток), но доступны модели с электрической прочностью изоляции 3000 В (постоянный ток) — с индексом -H. Модели с индексом -R оснащены входом дистанционного включения/отключения, который применяется при формировании последовательности включения отдельных модулей. Преобразователи серии ITX могут применяться в разных внешних условиях и имеют широкий диапазон рабочих температур: от –40 до +90 °C. Полная выходная мощность обеспечивается на вы-
ходе при температуре до +65 °C без понижения мощности. Модули поддерживаются 3‑летней гарантией. www.prosoft.ru
Источники питания от Mean Well серии NEL‑200/300/400 ИП обеспечивают КПД до 87%, таким образом, при температурах –20…+60 °C модели серии NEL‑200 могут работать с естественным охлаждением, а модели серий NEL‑300/400 — с применением встроенного вентилятора. Модельный ряд представлен источниками с выходными напряжениями 2,8, 4,2 и 5 В, которые используются при питании светодиодных дисплеев. Технические характеристики: • низкий профиль для корпусов 1U (30 мм); • диапазон входных напряжений 180–264 В; • ток утечки менее 1 мА; • защита от короткого замыкания, перегрузки,
перенапряжения и перегрева. • диапазоны регулировки выходного напряжения — 2,8 В: 2,5–3 В; 4,2 В: 3,6–4,4 В; 5 В: 4,5–5,5 В; • защитное покрытие на печатной плате; • светодиодный индикатор включения; • сертификат UL60950-1; • размеры (Д×Ш×В) 215×115×30 мм. Области применения ИП NEL — светодиодные дисплеи (в т. ч. телевизионные), дорожные знаки. www.aviton.spb.ru
Реклама
Компания Mean Well продолжает развитие линейки источников питания (ИП) для светодиодных дисплеев. В дополнение к существующим сериям HSP‑150/250 и HDP‑190/240 производитель представляет новые серии мощностью 200–400 Вт: NEL‑200/300/400. ИП серий NEL‑200/300/400 выполнены в низкопрофильном корпусе, что дает дополнительные преимущества пользователям, имеющим ограничения по свободному пространству. Они выдерживают выброс входного напряжения 300 В переменного тока в течение 5 с, выполнены без корректора мощности.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
166
проектирование
моделирование работы
MATLAB 8.0 (R2012b): работа с пакетом расширения Communications System Toolbox
Владимир Дьяконов, д. т. н., профессор vpdyak@yandex.ru
Communications System Toolbox — один из первых пакетов расширения матричной системы MATLAB. Он интенсивно развивается, и его последняя версия R2012b (август 2012 г.) — это мощный пакет проектирования и моделирования современных коммуникационных устройств и систем с открытым программным кодом и понятной структурой. Пакет не имеет равных по числу блоков‑моделей компонентов и устройств коммуникационной и связной радиоэлектроники и возможностям их проектирования и блочного имитационного моделирования. В статье впервые отписана новейшая реализация этого пакета для последней версии системы MATLAB + Simulink (R2012b). Автор благодарит корпорацию The MathWorks, Inc. [1] за предоставленную систему MATLAB 8.0 + Simulink 8.0 (R2012b), использованную для подготовки этой серии статей.
Состав и назначение пакета расширения Communications System Toolbox Пакет расширения Communications System Toolbox обладает такими возможностями, как: • обширный набор MATLAB-функций и системных объектов для проектирования, моделирования и анализа коммуникационных систем и поддержки их блочного имитационного моделирования на основе пакета расширения Simulink; • обширный набор алгоритмов кодирования сигналов при разных видах модуляции; • реализация методов моделирования прохождения сигналов по каналам связи с ослаблением и помехами; • средства получения АЧХ и ФЧХ сигналов и обрабатывающих их устройств, создания виртуальных осциллографов и графопостроителей;
Рис. 1. Окно справки внутри окна командного режима с данными о новых возможностях пакета Communications System Toolbox
• средства построения специальных диаграмм («глазковых», звездных и др.), а также визуализации канальных характеристик и оценки битовых ошибок; • библиотека с обширным набором блоков имитационного моделирования современных коммутационных и связных систем и устройств с возможностью индивидуальной установки параметров каждого блока; • поддержка адаптивных алгоритмов динамических коммутационных систем с использованием OFDM-, OFDMA- и MIMO-техники, а также поддержка операций с фиксированной точкой; • обширный набор демонстрационных примеров из области проектирования и моделирования коммутационных систем различного назначения — проводных и беспроводных. Знакомство с возможностями пакета Communications System Toolbox нужно начать со справки, окно которой со списком пакетов расширения открывается при активизации кнопки с вопросительным зна-
Рис. 2. Разделы справки по пакету Communications System Toolbox
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
моделирование работы
Рис. 4. GUI окно анализатора ошибок Bit Error Rate Analysis Tool
Работа в командном режиме в принципе обеспечивает все возможности пакета. Она основана на использовании функций пакета, которые в общем случае имеют следующий вид, присущий MATLAB-функциям [2, 3]: [Xo, Yo, Zo,…]=Имя_функции(Xi, Y,,Zi,…);
В ответ на такое обращение, где индексом i обозначены матрицы входа (input), функция создает в рабочем пространстве MATLAB выходные матрицы с индексом o (output). Многие функции помимо этого создают и другие объекты, например графические (графики, диаграммы, гистограммы и т. д.). При этом списки выходных параметров могут не задаваться. Знак «;» запрещает вывод выходных матриц на индикацию дисплеем. Например, в следующем наборе команд: >> EbNo = 0:13; >> berdata = [.2 .15 .13 .12 .08 .09 .08 .07 .06 .04 .03 .02 .01 .004]; >> berfit(EbNo,berdata); % Plot the best fit.
задается эмпирический набор 14 данных — ошибок в системе связи — как функция параметра EbNo (отношение энергии бита к спектральной плотности шумов), и по нему методом наименьших квадратов строится кривая регрессии ошибок (рис. 3).
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
167
Рис. 5. Пример построения звездной и «глазковых» диаграмм в MATLAB
ком в титульной строке панели каталогов. Выбрав пакет Communications, получим его окно справки (рис. 1). В этом окне открыта позиция с данными о новых возможностях пакета расширения Communications System Toolbox. Следует отметить, что наряду с новыми возможностями в эту версию системы MATLAB 8.0 вошли все средства предшествующих версий системы и пакет расширения системы блочного моделирования Simulink — Communications System Blockset. Разделы последнего Toolbox представлены на рис. 2.
Идеология работы с пакетом в командном режиме
проектирование
Рис. 3. Набор ошибок и кривая их регрессии
Эта операция часто осуществляется при анализе коммуникационных систем. Для анализа oшибок служит специальное окно с графическим интерфейсом пользователя (GUI), которое вызывается из каталога приложений APPS MATLAB 8.0 или командой в окне программного режима работы: >> bertool
О к н о B i t E r r o r R a t e A n a l ys i s To o l (BERATool) показано на рис. 4. В нем можно задать тип канала, вид модуляции сигнала, тип кодирования и т. д. А затем, нажав кнопку Plot внизу окна, можно построить график битовой погрешности BER в зависимости от параметров Eb/No. Таким образом, анализатор ошибок BERATool заменяет множество функций системы MATLAB. При анализе коммутационных систем и устройств широко применяется обычная графика системы MATLAB — графики функций одной и нескольких переменных в линейном и логарифмическом масштабе, гистограммы, спектры и т. д. Особое место в анализе коммуникационных систем занимают звездные и «глазковые» диаграммы. Звездная диаграмма — это набор точек на комплексной плоскости, соответствую-
щих концу радиус-вектора сигнала в различных стадиях его кодоимпульсной модуляции. А «глазковая» диаграмма строится как наборы отрезков входного и выходного сигналов, взятые в противофазе и обычно с нормированным уровнем. По степени открытия или закрытия «глазковых» диаграмм можно судить о зонах работоспособности коммуникационных систем. Наглядное представление об этих диаграммах дает пример, описанный в скриптфайле — sсatteryeydemo.m, находящийся в директории commdemo демонстрационных файлов пакета. На рис. 5 он показан в редакторе скрипт-файлов системы MATLAB 8.0 (видно только начало большого листинга) вместе с результатами его исполнения в виде интересующих нас диаграмм (справа от листинга программы). Диаграмма Figure 1 представляет собой звездную диаграмму сигнала с квадратурной модуляцией (четыре фазовых состояния), остальные — «глазковые» диаграммы для различных сигналов. Еще один пример графической иллюстрации средствами MATLAB приведен на рис. 6. Показан график ошибок и две динамические звездные диаграммы. У таких диаграмм число точек и их местоположение меняются во времени. «Глазковые» и звездные диаграммы неподвижны только для стационарных сигналов, параметры которых постоянны во времени. В системах связи сигналы чаще всего являются нестационарными, и «глазковые» и звездные диаграммы оказываются динамическими и меняются во времени. Характер изменения этих диаграмм во времени отражает многие динамические свойства сигналов. На рис. 7 представлена канальная модель беспроводной связи по стандарту IEE8216 (WiMAX) с иллюстрацией спектра, полученного методом Уэлча, и временными диаграммами двойного прохождения сигнала по каналам связи. Используется типичная графика системы MATLAB. Модель описана на языке системы MATLAB в окне редактора программного кода. www.kite.ru
168
проектирование
моделирование работы
Рис. 6. Графическая иллюстрация средствами MATLAB ошибок и динамических звездных диаграмм
Рис. 7. Канал беспроводной связи IEE8216 (канальная модель)
Переход к использованию средств Simulink В справке по пакету Communications System имеются десятки примеров в виде MATLAB-скриптов, иллюстрирующих возможности MATLAB при анализе, проектировании и создании коммуникационных устройств. Но уже приведенные примеры демонстрируют достоинства и недостатки этой идеологии. Достоинством является полная и наглядная программная совместимость с базовой системой MATLAB и использование ее обширных возможностей при анализе и графической визуализации процессов. Пользователь работает напрямую с программой в окне редактора программных кодов, которые открыты для него и допускают дополнения и редактирование. Коды представлены на языке программирования системы MATLAB, который давно признан лучшим языком программирования для научно-технических расчетов. Главным недостатком является чрезмерная детализация вычислений на уровне программных кодов. Порой один ошибочный знак останавливает работу большой программы, после чего необходима кропотливая работа по ее разбору и отладке. Программы получаются большими и требуют детальных комментариев (они вводятся после знака %), которых особенно много в фирменных программах. При разборе программ пользователь должен обладать основательными специальными знаниями. Поэтому уже в последних реализациях MATLAB 7 наметилась прогрессивная тенденция к объединению Communications System Toolbox для системы MATLAB c пакетом Communications Blockset для пакета блочного имитационного моделирования Simulink. При этом работа происходит на уровне пакета Simulink, а все MATLABфункции входят в набор средств для создания блоков Simulink и коммутационного пакета расширения. Если пользователь пользуется его блоками, то ему просто необязательно знать MATLAB-функции. Тем не менее возможно и их применение в составе Simulink-программ для реализации специфических для MATLAB возможностей. В последней реализации системы MATLAB+Simulink 8.0 эта тенденция привела к полному объединению двух коммуникационных пакетов расширения под общим именем Communications System Toolbox.
Библиотека блоков пакета Communications System Toolbox Библиотека нового пакета расширения Communications System Toolbox, как и библиотеки других пакетов, доступна в браузере библиотек Simulink [4], его окно показано на рис. 8. Оно вызывается кнопкой Simulink Library в панели каталога HOME. Там же показано частично открытое дерево библиотек коммуникационного пакета разных уровней.
Рис. 8. Окно браузера библиотек 8.0 с деревом библиотек пакета Communications System Toolbox и окно раздела библиотек 1‑го уровня
На первом уровне находятся разделы библиотеки, представленные прямоугольниками с золотым фоном. Прямоугольник с синим фоном при активизации обеспечивает переход на страницу справки с перечнем демонстрационных примеров в MATLAB (скриптов) и в Simulink (диаграмм моделей). Окно разделов библиотек показано справа от окна браузера. Оно открывается командой Open Communications System Toolbox во всплывающей подсказке при нажатии правой клавиши мыши, когда курсор указывает на соответствующую ветку дерева библиотеки. Активизируя мышью каждый прямоугольник раздела библиотеки, можно получить окно с блоками 2‑го уровня библиотеки (рис. 9а). Эти блоки в основном представляют модели конкретных устройств, оформленные как субблоки и маски. Часть блоков условно можно отнести к 3‑му уровню: они показаны на рис. 9б. Более низкий уровень вовсе не означает меньшую значимость блоков. Он является лишь показателем расположения блока на дереве библиотеки.
«Глазковые» и звездные диаграммы в Simulink-моделях В Simulink-части коммуникационного пакета «глазковые» и звездные диаграммы создаются виртуальными графопостроителями. На рис. 10а представлена диаграмма модели с блоками раздела библиотеки Skins на примере модели системы GMSK с модулятором MSK (манипуляция с минимальным фазовым сдвигом). Управление формой и параметрами «глазковых» диаграмм обеспечивается окном их параметров, оно показано справа от диаграммы модели.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
моделирование работы
проектирование
169
а
а
б
б
Рис. 9. Блоки разделов библиотеки: а) 2‑го уровня; б) 3‑го уровня
Рис. 10. Диаграмма Simulink: а) модели с «глазковыми» диаграммами; б) модели построения фазового дерева
определяется высокостабильной частотой обычного кварцевого опорного генератора, умноженной на M и деленной на частоту делителя частоты N. Используется система фазовой автоподстройки управляемого напряжением генератора. Результат преобразуется в симметричные прямоугольные импульсы — меандр (он изображен слева под диаграммой модели). В подобных синтезаторах частоты, часто реализованных в виде специальных интегральных микросхем, особое значение имеет время выхода на установившийся режим работы при включении схемы и переключении ее частоты (осциллограмма справа под диаграммой модели). Это время может быть малым, что обеспечивает решение с применением таких синтезаторов в широком круге практических задач. Рис. 11. Диаграмма со спектром сигнала и сложными звездными диаграммами
Еще один пример создания «глазковых» диаграмм приведен на рис. 10б. Одна из «глазковых» диаграмм строит фазовое дерево. Такие диаграммы обычно применяются для представления многокомпонентных сигналов. Реализация манипуляции с минимальным фазовым сдвигом с применением аппарата операций с плавающей точкой показана на рис. 11. Эти операции выполняются на аппаратном уровне, что позволяет уменьшить время моделирования.
Моделирование узлов коммутационных систем Пакет расширения Communications System Toolbox позволяет моделировать различные узлы коммуникационных систем. На рис. 12 показана диаграмма модели цифрового синтезатора частоты. Его частота
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 12. Диаграмма модели цифрового синтезатора частоты
www.kite.ru
170
проектирование
моделирование работы
Рис. 13. Диаграмма модели адаптивного эквалайзера LMS, RLS и CMA
Рис. 14. Графическая иллюстрация работы эквалайзера, представленного на рис. 13
MATLAB. В справке по пакету описано несколько вариантов построения и применения эквалайзеров.
Моделирование проводной коммуникационной системы ADSL
Рис. 15. Адаптивный эквалайзер в линии связи
В современных системах связи часто используются адаптивные эквалайзеры (рис. 13). Эквалайзер обычно корректирует характеристики коммутационных систем, обеспечивая минимум ошибок и повышенную надежность работы систем. Сейчас мы не будем вникать в особенности работы эквалайзера. Графическая иллюстрация работы адаптивного эквалайзера представлена на рис. 14. Хорошо видна сложность работы этого устройства и обилие представляющих ее графиков различного типа. Их анализ, безусловно, полезен тем, кто исследует и разрабатывает подобные устройства. Применение адаптивного эквалайзера в линии связи показано на рис. 15. Алгоритм работы эквалайзера реализован функцией
Рис. 16. 256‑канальный дискретный многотоновый сигнал в ADSL
Максимальная скорость модемов в начале внедрения Интернета составляла 56–64 кбит/c и была ограничена обычным способом передачи сигналов по телефонным линиям. Со временем оказалось, что эта скорость может быть повышена на 2–3 порядка при использовании волнового принципа передачи сигналов высокочастотной области спектра, передаваемых по обычным телефонным линиям. Так появились проводные системы широкополосной связи ISDN, а позже ADSL (рис. 17) [6]. Такие системы используют многотоновые сигналы и принцип частотного разделения данных при их передаче и приеме. На рис. 16 показан спектр сигнала в ADSL-линии. Диаграмма модели многотонового модулятора приведена на рис. 17. Система сохраняет в низкочастотной области все возможности обычной телефонной связи и добавляет множество новых возможностей, например распараллеливание телефонной связи, службу контроля номеров вызывающего абонента и др. Правда, в данной модели эти возможности не моделируются.
Моделирование процессов в коммуникационных устройствах В коммуникационных системах происходят сложные процессы во всех областях определения сигналов — энергетической (амплитудной), временной, частотной, фазовой и логической (цифровой).
Рис. 17. Диаграмма модели многотонового модулятора системы ADSL
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
моделирование работы
проектирование
Рис. 18. Эффекты фазового шума при 256‑QAM
Рис. 19. Диаграмма моделирования синхронизации
Рис. 20. Организация защищенных коммуникаций
Рис. 21. Анализ WDCMA на уровне физического слоя
Рис. 22. Графическая иллюстрация процессов на уровне физического слоя
Рис. 23. Канальная интерференция
Сложность этих процессов нередко вынуждает разработчиков коммутационных систем исследовать их по частям и моделировать даже отдельные процессы. Система MATLAB с пакетом расширения Communications System Toolbox приспособлена для этого. На рис. 18 показано моделирование фазового шума в 256‑канальной системе связи с квадратурной амплитудной модуляцией. Хорошо видно, что шум значительно увеличивает число битовых ошибок и искажает звездную диаграмму. При этом звездочки превращаются в черточки. Диаграмма моделирования системы синхронизации представлена на рис. 19. Не вдаваясь в тонкости работы системы синхронизации,
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
171
отметим лишь резкое нарастание ошибок в начале процесса, когда синхронизация еще не установилась. Пожалуй, самое ценное при таком моделировании — исследование переходных процессов в ходе синхронизации и оценка характера и времени переходных процессов при синхронизации. С помощью особых методов кодирования и ввода избыточных кодов можно создавать системы с защитой от ошибок. Пример моделирования одной из таких систем приведен на рис. 20. Иногда полезно моделирование коммуникационных систем на физическом уровне. Несколько таких примеров дано в справке по описываемому пакету. На рис. 21 показан один из них. www.kite.ru
172
проектирование
моделирование работы
Рис. 24. Диаграмма модели передачи символа Гарднера
Результаты моделирования на этом уровне часто отличаются обилием различных графиков и осциллограмм (рис. 22). Это является признаком сложности процессов, происходящих в коммуникационных системах. Канальная интерференция существенно влияет на качество передачи информации в системах связи. На рис. 23 показано это обстоятельство на примере интерференции в каналах. В телеграфии и радиотелеграфии особое значение имеет передача по линиям связи символьных сигналов. На рис. 24 показана диаграмма модели передачи символа Гарднера, именуемого «собачкой» и применяемого в написании адресов электронной почты. Здесь используется M‑PSK-модулятор и PSK-демодулятор.
Моделирование полных коммуникационных систем Важное место занимает моделирование полных коммуникационных систем, имеющих передатчик, каналы связи и приемник. На рис. 28 показана диаграмма модели такой системы ADSL, основанной на асимметричном частотном методе полос передачи и приема сигналов данных по обычному телефонному кабелю. Этот вид связи применяется до сих пор (в том числе для предоставления услуг Интернета на умеренных скоростях в полосе частот от 0 до 4 кГц) [7]. На рис. 25 приведена диаграмма модели 256‑канальной коммуникационной системы ADSL. Представлены блоки передатчика (Transmitter) и приемника (Receiver) этой системы из блоков, входящих в состав пакета Communications System Toolbox: это позволяет резко упростить моделирование подобных систем.
Рис. 25. Диаграмма модели 256‑канальной коммуникационной системы ADSL
Рис. 26. Диаграмма модели коммуникационной системы QPSK
Еще одна диаграмма полной модели коммуникационной системы с квадратурно-позиционной модуляцией QPSK показана на рис. 26. Приведены также результаты работы этой системы, представленные многочисленными диаграммами и осциллограммами, полученными от виртуальных приборов.
Моделирование беспроводных систем коммуникаций Bluetooth Наличие большого числа различных блоков, порою решающих сложные функциональные задачи, позволяет осуществить макромоделирование и моделирование современных беспроводных систем коммуникаций, например, таких как Bluetooth и Wi-Fi [6]. Эти системы работают на СВЧ и благодаря широкому распространению реализованы в виде твердотельных интегральных микросхем. Они функционируют на небольших расстояниях (десятки-сотни метров), и на их работу существенное влияние оказывают стены и расположение комнат в зданиях, различные препятствия на пути распространения радиоволн и прочие факторы. На рис. 27 показана диаграмма беспроводной системы связи Bluetooth со скачкообразным изменением частоты (Frequency Hopping). Скорость изменения частоты достигает 1600 скачков в секунду, а скорость передачи данных — до 1 Мбит. Передача данных идет в частотном диапазоне 2,4 ГГц на малые расстояния (десятки метров). Системы Bluetooth широко применяются для связи между компьютерами, сотовыми телефонами и другими офисными, промышленными и медицинскими аппаратами. Обратите внимание на отсутствие ошибок при большом объеме передаваемой информации.
Рис. 27. Диаграмма модели беспроводной Bluetooth коммуникационной системы
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
моделирование работы
проектирование
173
дели линии связи IEEE 812.11a (WLAN) на уровне физического слоя. Модель поддерживает скорости передачи данных в 6, 9, 12, 18, 24, 36, 48 и 54 Мбит/c. Графическая иллюстрация работы системы IEEE 812.11a представлена на рис. 30 и отличается разнообразием диаграмм и спектров. Они, разумеется, полезны специалистам по разработке и эксплуатации таких систем.
Моделирование систем связи стандарта WiMAX
Рис. 28. Диаграмма модели голосовой Bluetooth-связи
Система беспроводной связи Bluetooth неплохо справляется с передачей звуковой информации и изображений, например с одного мобильного устройства на другое. Пример такой передачи показан на рис. 28.
Моделирование систем связи стандарта Wi-Fi Еще более широкими возможностями обладают беспроводные сети класса Wi-Fi. Пакет Communications System Toolbox имеет достаточный набор блоков, чтобы моделировать современные сети Wi-Fi, например стандартов IEEE 812.11. На рис. 29 показана диаграмма мо-
Стандарт IEEE 802.16, названный WiMAX (Worldwide Interoperability for Microwave Access — «международное взаимодействие для микроволнового доступа»), был разработан для организации единых беспроводных сетей в городском масштабе — WMAN (Wireless Metropolitan Area Network) [6]. Группа стандартов WiMAX позволяет осуществлять беспроводную связь на расстоянии до 50 км, то есть даже вне зоны прямой видимости. Система используется во всем мире с пользовательской скоростью передачи данных до 75 Мбит/c. Диаграмма модели IEEE 802.16 2004 представлена на рис. 31. На рис. 32 показана диаграмма WiMAX с блоком кодирования, улучшающим показатели системы. В России WiMAX находится в начале своего развития.
Моделирование системы спутниковой связи Спутниковые системы связи также можно моделировать в пакете расширения Communications System Toolbox. Примером может служить диаграмма модели такой системы, показанная на рис. 33. Она использует вид манипуляции 16‑QAM (16‑позиционная система квадратурной амплитудной манипуляции).
Рис. 29. Диаграмма модели линии связи IEEE 812.11a
Рис. 30. Графическая иллюстрация работы IEEE 812.11a
Рис. 31. Диаграмма модели IEEE 802.16 2004
Рис. 32. Диаграмма модели IEEE 802.16 2004 с блоком кодирования
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
www.kite.ru
проектирование
174
моделирование работы
Рис. 34. Реальные графические диаграммы на экране современного анализатора спектра поразительно схожи с диаграммами пакета расширения Communications System Toolbox системы MATLAB+Simulink 8.0 (R2012b)
Рис. 33. Диаграмма модели спутниковой системы связи
Единые средства анализа и визуализации коммуникационных систем Представленные выше средства анализа и визуализации коммуникационных систем, применяемые в матричной системе MATLAB, в настоящее время внедряются в новейшие измерительные приборы — цифровые осциллографы (в том числе многодоменные), измерительные генераторы, анализаторы спектра, цепей и сигналов [7]. Это создает единую основу для исследования, проектирования и моделирования современных коммуникационных устройств и систем. MATLAB является идеальной системой для освоения этих средств инженерно-техническими и научными работниками и студентами технических вузов соответствующего профиля. На рис. 34, к примеру, представлен экран анализатора спектра реального времени корпорации Tektronix серии 6100 с графическими диаграммами и спектром. Они подобны приведенным выше и созданным в системе MATLAB+Simulink 8.0 с пакетом расширения Communications System Toolbox. Но сто-
новости
имость анализатора спектра на порядок выше стоимости системы MATLAB+Simulink с полным набором пакетов расширения. Поэтому ее применение для проектирования и изучения коммуникационных систем представляется вполне оправданным экономически, актуальным и своевременным, хотя конечным этапом разработки всегда является реальное «железо».
Заключение Пакет расширения Communications System Toolbox содержит многочисленные средства (в том числе Simulink-блоки) разнообразных компонентов и систем связи и коммуникаций, работающих по созданным в последние годы стандартам, в частности, ADSL, Bluetooth, Wi-Fi, WiMAX, спутниковой связи и др. Все они превратились в массовые изделия, применяемые во всем мире и реализованные на вполне доступных интегральных микросхемах. Таким образом, MATLAB+Simulink 8.0 (R2012b) стала одной из первых перспективных систем для проектирования и моделирования современных
линий связи и коммуникационных систем. Возможности по моделированию генераторов, модуляторов, демодуляторов и т. д., а также по представлению и индикации сигналов позволяют выполнять в этой системе широкий спектр работ. n
Литература 1. www.mathworks.com 2. Дьяконов В. П. MATLAB R2006/2007/2008 + Simulink 5/6/7. Основы применения. М.: СОЛОН-Пресс, 2008. 3. Дьяконов В. П. MATLAB. Полный самоучитель. М.: ДМК-Пресс, 2012. 4. Дьяконов В. П. MATLAB и Simulink для радиоинженеров. М.: ДМК-Пресс, 2011. 5. Дьяконов В. П. MATLAB 2011b в обработке сигналов и моделировании электронных устройств // Компоненты и технологии. 2012. № 2. 6. Дьяконов В. П., Образцов А. А., Смердов В. Ю. Электронные средства связи. М.: СОЛОН-Пресс, 2005. 7. Афонский А. А., Дьяконов В. П. Электронные измерения в нанотехнологиях и в микроэлектронике. М.: ДМК-Пресс, 2011.
блоки питания
Преобразователи EDA‑150: AC/DC на 150 Вт в металлическом корпусе от Chinfa Компания Chinfa представляет AC/DCпреобразователи на 150 Вт в металлическом корпусе — EDA‑150. Они работают при входном напряжении 88–264 В AC и имеют корректор коэффициента мощности. Имеется встроенный потенциометр для подстройки выходного напряжения в диапазоне ±10%. Корпус имеет небольшие размеры, что позволяет использовать EDA‑150 в контрольно-измерительном и испытательном оборудовании, системах
передачи и хранения данных, промышленной автоматике, а также в любых других случаях, когда требуются компактные источники питания с корректором коэффициента мощности, работающие при низкой температуре. Краткие технические характеристики EDA‑150: • Диапазон входного напряжения: 88–264 В AС. • Выходное напряжение: 5; 12; 15; 24 В DC. • КПД: до 92%.
• Отсутствие вентилятора; охлаждение путем естественной конвекции. • Рабочий температурный диапазон: –40…+71 °C. • Габариты: 158×97×38 мм. • Защита от: – превышения выходного напряжения; – короткого замыкания и перегрузки на выходе. • Соответствие международным стандартам: UL/CUL/TUV/CE. www.eltech.spb.ru
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
175
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
www.kite.ru
176
новости
измерительная аппаратура
Новые генераторы сигналов Agilent семейства Trueform с диапазоном частот 80 и 120 МГц
серии 33600A эта технология обеспечивает широкий спектр возможностей, которые, как правило, отсутствуют в генераторах сигналов такого класса. Среди них: • Сложение и комбинирование сигналов. Это позволяет легко добавлять к сигналам шумы для параметрического тестирования устройств с использованием только одного канала. Двухканальные модели допускают сложение и комбинирование до четырех сигналов. • Возможность генерирования шума с переменной полосой частот. Благодаря этому можно настраивать диапазон встроенного генератора шума для управления частотным спектром формируемых сигналов. • Функция создания сигналов произвольной формы по точкам с возможностью многократного последовательного воспроизведения сегментов сигнала. Это позволяет с высокой точностью генерировать длинные сложные сигналы с минимальным использованием памяти прибора. • Возможность формирования псевдослучайных двоичных последовательностей (PRBS). Это обеспечивает тестирование цифровых последовательных шин подачей на них стандартных PRBS (от PN3 до PN32), что исключает необходимость использования отдельного генератора импульсов. www.agilent.com
Реклама
Компания Agilent Technologies, Inc. представила новые генераторы сигналов серии 33600A, построенные на основе эксклюзивной технологии Trueform. Серия генераторов сигналов Agilent 33600A включает четыре одно- или двухканальные модели, которые обеспечивают генерирование сигналов в диапазоне частот до 120 МГц с частотой дискретизации 1 Гвыб./с. Генераторы сигналов серии 33600A имеют самый низкий в своем классе джиттер (менее 1 пс, что в 200 раз лучше, чем у предыдущего поколения генераторов, построенных на основе технологии прямого цифрового синтеза) и минимальный уровень нелинейных искажений, что дает возможность пользователям получать именно те сигналы, которые им нужны. Малое значение джиттера означает, что инженеры могут более точно устанавливать фронты сигналов. Это позволяет сократить количество ошибок синхронизации при разработке электронных устройств. Благодаря величине суммарного коэффициента нелинейных искажений менее 0,03% и уровню негармонических составляющих менее 75 дБн, генераторы серии 33600A способны формировать исключительно чистые сигналы, которые не вносят в исследуемую схему шумы, обеспечивая возможность получения более точных результатов. Очень низкий уровень джиттера и значение времени нарастания и спада порядка 3 нс позволяют инженерам более точно устанавливать точки запуска. Благодаря малой величине нижней границы диапазона выходного напряжения (от 1 мВ, размах) пользователи могут изменять амплитуду выходного сигнала с разрешением от 1 мкВ, что критически важно при тестировании современных низковольтных схем и устройств. С помощью простых программных обновлений пользователи при необходимости могут легко расширить функциональные возможности своих генераторов серии 33600A, например увеличить объем памяти до 64 млн выборок или расширить диапазон частот до 120 МГц. Несмотря на то, что в последние годы для генерирования сигналов произвольной формы преимущественно использовалась технология прямого цифрового синтеза (DDS), присущие ей ограничения часто вынуждали инженеров довольствоваться обеспечиваемыми приборами на ее основе характеристиками или тратить в пять и более раз больше средств на приобретение генераторов сигналов класса high-end. Технология Trueform сочетает в себе достоинства технологии DDS с архитектурой поточечного построения произвольных сигналов, что позволяет устранить эти проблемы. При использовании в генераторах
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
проектирование
178
моделирование работы
Моделирование и исследование блоков корректирующего кода в среде MicroCap 9
Олег Соколов, к. т. н.
Б
лочные корректирующие коды широко применяются в различных радиоэлектронных устройствах [1, 2]. При этом отсутствует описание синтеза этих устройств в известной программе моделирования MicroCap 9 [3]. Почти все блочные коды относятся к разделимым, или систематическим, то есть их комбинации состоят из двух частей: информационной и проверочной. Информационные и проверочные разряды занимают одни и те же определенные позиции. Разделимые коды обозначают в виде (n,k)кодов (n — «значность» кода; k — число информационных разрядов), r = n–k — число проверочных разрядов. Наиболее часто применяются линейные систематические коды. Для систематического (n,k)-кода любая кодовая комбинация записывается в виде:
X = (x1, …, xi, …, xk; z1, …, zj, …, zr), где x1, …, xi, …, xk — информационная комбинация; z1, …, zj, …, zr — проверочные символы.
Рис. 1. Структурная схема кодера
В статье приводится разработка схем кодера и декодера блочного корректирующего кода в среде MicroCap 9. Рассмотрена работа схем кодера и декодера линейного (7,4)-кода при безошибочном приеме кодовой комбинации, а также в режиме обнаружения и исправления ошибок в принятом коде.
Линейные коды полностью определяются k линейно-независимыми кодовыми комбинациями. Совокупность этих k‑комбинаций, называемых базисными, образует порождающую матрицу кода. Часто используется каноническая форма порождающей матрицы:
деляла код с кодовым расстоянием dmin, необходимо и достаточно, чтобы сумма любых l строк избыточной матрицы образовывала r‑символьную комбинацию, вес которой (количество отличных от нуля символов):
w ≥ dmin–l. Это неравенство позволяет определить значение избыточных символов порождающей матрицы. Рассмотрим линейный (7,4)-код с кодовым расстоянием dmin = 3. Порождающая матрица для этого кода может быть записана в виде:
Порождающая матрица полностью задает код, так как все кодовые комбинации (за исключением нулевой) могут быть получены путем посимвольного сложения по модулю двух входящих в порождающую матрицу первой, второй, …, k‑ой базисных комбинаций. Образование кодовых комбинаций происходит при линейном сложении различного числа базисных комбинаций, что и определяет название линейных кодов. Для того чтобы каноническая порождающая матрица опре-
Легко убедиться, что сумма любых l‑строк (l = 1, 2, …, k) избыточной подматрицы дает комбинацию, вес которой не менее 3–l, то есть порождает код с dmin = 3. Матрица содержит четыре из 15 ненулевых комбинаций кода. Остальные 11 комбинаций могут быть получены сложением базисных комбинаций. Например, кодовая комбинация, соответствующая информационной (1011), получается посимвольным сложением 1‑й, 3‑й и 4‑й строк и имеет вид (1011100). Достоинством систематических кодов является их сравнительно простая техническая реализация. На структурной схеме (рис. 1) представлено кодирующее устройство (7,4)-кода, состоящее из 7‑разрядного регистра сдвига и трех сумматоров по модулю 2. Регистр содержит две части: информационную (четыре ячейки) и проверочную (три ячейки). Каждый сумматор служит для формирования проверочного символа, находящегося на определенной позиции. Подключение информационных ячеек регистра к соответ-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
моделирование работы
проектирование
179
ствующим сумматорам выполнено по правилам построения корректирующего (7,4)-кода:
R1 = i1⊕i2⊕i3, R2 = i2⊕i3⊕i4, R3 = i1⊕i2⊕i4. Рассмотрим принцип работы кодера. Первичная кодовая комбинация записывается параллельно в четыре информационные ячейки регистра. Одновременно с этим в трех сумматорах по модулю 2 формируются три проверочных символа, которые записываются в три проверочные ячейки регистра. Полученная кодовая комбинация выводится из регистра с помощью подачи тактовых импульсов от генератора тактовых импульсов. После семи тактовых импульсов кодовая комбинация будет выведена из регистра. Далее регистр подготавливается к записи и формированию следующей кодовой комбинации. Сформированные комбинации поступают к модулятору передатчика. На рис. 2 приведена схема кодера, синтезированная в среде MicroCap 9. С целью упрощенного получения в схеме информационных символов регистр, содержащий четыре информационные ячейки, заменен на четыре цифровых переключателя i1–i4. Проверочные ячейки R1–R3 выполнены на двухвходовых сумматорах по модулю 2. На схеме индикаторы красного цвета показывают логическую единицу информационных и проверочных символов. Индикаторы черного цвета соответствуют логическому нулю. Генератор тактовых импульсов ввиду отсутствия сдвигающего регистра заменен на семь импульсных генераторов V1–V7, формирующих импульсы длительностью 10 мкс и задержанных друг относительно друга на 10 мкс. Буферные блоки B1–B7 предназначены для согласования аналоговой части схемы с цифровой схемой, которая содержит двухвходовые элементы И, а также элемент ИЛИ с девятью входами. В нем используются семь входов по числу символов корректирующего (7,4)-кода. На рис. 3 приведены осциллограммы на выходах импульсных генераторов V1–V7, выходе элемента ИЛИ и выходе фазового манипулятора PSK. Рассмотрим работу декодера (7,4)-кода (рис. 4). Декодер (7,4)-кода состоит из семи триггерных ячеек, трех сумматоров по модулю 2, анализатора ошибок и корректора ошибок. По фронту стробирующего импульса последовательность символов принятой от демодулятора кодовой комбинации записывается в семь ячеек регистра, и с помощью сумматоров проводится проверка на четность для информационных и проверочных символов по следующим правилам:
S1 = R1⊕i1⊕i3⊕i4, S2 = R2⊕i1⊕i2⊕i3, S3 = R3⊕i1⊕i2⊕i4. КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 2. Схема кодера корректирующего (7,4)-кода
Рис. 3. Осциллограммы на выходах импульсных генераторов V1–V7 (семь сверху), на выходе элемента ИЛИ (вторая снизу) и на выходе фазового манипулятора (первая снизу)
Рис. 4. Структурная схема декодера
www.kite.ru
проектирование
180
моделирование работы
а
б
Рис. 5. Схемы блока ввода ошибок в информационные и проверочные символы: а) анализатора ошибок; б) анализатора и корректора ошибок
Если в принятой кодовой комбинации ошибок нет, то на выходах трех сумматоров формируется синдром последовательности вида (0,0,0). В этом можно убедиться, рассмотрев все 15 кодовых комбинаций (7,4)кода, полученных из порождающей матрицы. Если в принятой комбинации имеются ошибочные символы, то на выходе некоторых сумматоров формируется синдром последовательности, содержащий в некоторых позициях логическую единицу, поскольку условия проверки на четность в этих сумматорах оказались невыполненными. В этом случае на выходе анализатора ошибок формируется флаг ошибки. Код (7,4) позволяет скорректировать одиночную ошибку. На рис. 5а приведены схема блока ввода ошибок в информационные и проверочные символы и схема анализатора ошибок в среде MicroCap 9. На рис. 5а показана безошибочная передача символов (7,4)-кода: 1010 001.
Безошибочная передача символов подтверждается отсутствием ввода ошибок в блоке (нулевое положение цифровых ключей i1–i4, R1–R3), индикацией принятых символов, совпадающих с переданными, нулевым синдромом «000», индикатором «ошибки нет». На рис. 5б представлена передача символов (7,4)-кода с введенной ошибкой в символе i1. В блоке ввода ошибок пунктиром показан цифровой ключ i1 в единичном положении. Это означает ввод ошибки в символ i1, что и характеризует принятый символ i1 (выделен пунктиром). В результате формируется синдром вида (1,1,1) и формируется логическая единица на выходе i1 анализатора ошибок. Для коррекции ошибки бит с выхода i 1 анализатора ошибок передается на соответствующий вход корректора ошибок, представляющий собой блок из семи сумматоров по модулю 2. Алгоритм коррекции основан на том, что каждому ненулевому синдрому
Таблица. Конфигурация ошибок Синдром
001
010
011
100
Конфигурация ошибок 01000000 00100000 00010000 00001000 R2 i2 R1 Ошибочный символ R3 Синдром 101 110 111 000 Конфигурация ошибок 00000100 00000010 00000001 10000000 Нет i3 i1 Ошибочный символ i4 ошибок
соответствует определенная конфигурация ошибок, приведенная в таблице. На рис. 6 приведен другой пример одиночной ошибки в проверочном символе R2. В переданном коде 0011 011 цифровым ключом R2 в блоке ввода ошибок введена ошибка в символ R2: 0011 001. Она зафиксирована в принятых символах, в синдроме вида (0,1,0) и при формировании логической единицы на выходе R2 анализатора ошибок. В результате корректирующий (7,4)-код на выходе корректора исправляет ошибку в символе R2. КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
моделирование работы
проектирование
181
Рис. 6. Схема коррекции ошибки в проверочном символе R2
Рис. 7. Схема кодера и декодера корректирующего (7,4)-кода
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
www.kite.ru
проектирование
182
моделирование работы
Рис. 8. Осциллограммы кодовой комбинации 0110 101 (сверху) и фазовой манипуляции несущего колебания (снизу)
Таким образом, в соответствии с текущей конфигурацией ошибок блок коррекции формирует управляющий сигнал, задающий инверсию для бита в позиции, соответствующей ошибочному символу. Выполнен блок коррекции ошибок по числу символов блочного корректирующего (7,4)-кода на семи сумматорах по модулю 2. В случае одиночной ошибки на выходе корректора декодера формируется верная кодовая последовательность, а на выходе анализатора ошибок формируется логическая
новости
единица, которая обозначает в данном случае ошибочный символ. На рис. 7 представлена схема кодера и декодера блочного корректирующего (7,4)-кода в среде MicroCap 9. На рис. 7 в блоке ввода ошибок цифровой ключ i3 показан в единичном положении. Это означает в данном случае ввод ошибки в символ i3, что и характеризует ошибочный принятый символ i3. В результате формируется синдром вида (1,1,0) и формируется логическая единица на выходе i3 анализатора ошибок. Корректор исправляет эту ошибку.
В правом верхнем углу на рис. 7 пунктиром выделен и в увеличенном виде показан блок PSK — фазовый манипулятор несущей частоты 400 кГц по закону блочного корректирующего (7,4)-кода для данной кодовой комбинации 0110 101. Осциллограммы кодовой комбинации 0110 101 и соответствующая ей фазовая манипуляция несущего колебания приведены на рис. 8. Представленный в работе метод реализации (7,4)-кода можно использовать для синтеза других типов корректирующих (n,k)-кодов. Разработанная модель дает возможность проводить широкий круг исследований кодера и декодера корректирующего (7,4)-кода, устройств блочных корректирующих (n,k)-кодов. Синтезированная модель корректирующего (7,4)-кода в среде MicroCap 9 актуальна для разработчиков соответствующей аппаратуры и может быть использована при обучении студентов радиоn технических специальностей.
Литература 1. Соколов О. Л., Войцеховский А. Б. Радиотехнические системы передачи информации: письменные лекции. СПб.: Изд-во СЗТУ, 2005. 2. Васин В. А., Калмыков В. В., Себекин Ю. Н. и др. Радиосистемы передачи информации: учебное пособие для вузов. М.: Горячая линия – Телеком, 2005. 3. Амелина М. А. Компьютерный анализ и синтез электронных устройств: конспект лекций. Ч. 1. Смоленск: МЭИ (ТУ), 2005.
датчики
Zettlex расширяет линейку IncOder Компания Zettlex доработала энкодеры линейки IncOder, что позволило расширить доступные для заказа сочетания параметров этих изделий до более чем четырех миллионов вариантов. Изделия IncOder — это высокоточные угловые энкодеры для жестких условий, выполненные на основе уникальной индуктивной технологии, которая позволяет создавать датчики, не имеющие подшипников, обладающие малыми габаритами и большим внутренним диаметром полого вала. Такая конструкция энкодеров обеспечивает простоту их интеграции в узлы с полым валом. Токосъемники, гидравлические трубки или электрические кабели можно просто пропускать сквозь центральное отверстие датчика. Изделия из линейки IncОder популярны у производителей оборудования и системных интеграторов, так как они позволяют достичь высокой точности без прецизионной установки при минимальных мерах по защите от воздействий окружа-
ющей среды. (Датчики не подвержены влиянию большинства посторонних веществ.) Расширенный диапазон цифровых выходов, помимо абсолютных интерфейсов, теперь включает инкрементальный A/B интерфейс, дифференциальный выход, выбор разрешений от тысячи до 4 млн отсчетов на оборот и выходы аналогового напряжения для всех габаритов датчиков.
Кроме того, эти энкодеры можно применять в требовательных приложениях на авиакосмическом и оборонном рынках, так как они имеют такие особенности, как длительный прогон, проводящие покрытия поверхности, расширенный до –60 °С диапазон рабочих температур и свинцовосодержащий припой. www.aviton.spb.ru
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
Реклама
183
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
www.kite.ru
184
новости
Новости сайта www.efo.ru Elhand Анонсированы новые трансформаторы среднего напряжения серии ETR. Их особенности: • Изготавливаются из негорючих и слабогорючих материалов. • Сердечники набраны из листов стали, изготовленных методом холодной прокатки с низкими магнитными потерями. • Обмотка HV наматывается по ровинговой (roving) технологии мокрым безвакуумным способом. • Мощность: до 2,5 МВ·А. • Высокое напряжение (HV): до 6,3 кВ. • Низкое напряжение (LV): до 1 кВ. • Высокая устойчивость изоляции к влажности. • Высокая устойчивость к короткому замыканию и действию факторов внешней среды в соответствии с классами C2, E2, F1 (стойкость к тепловому удару, коррозионная стойкость, устойчивость к возгоранию), диэлектрическая стойкость. • Уровень частичных разрядов: <10 пКл. Новые трансформаторы предназначены для использования в промышленных установках с опасностью возгорания, а также в общественных зданиях. Кроме того, они могут служить альтернативой масляным трансформаторам.
Arteche Компания «ЭФО» провела декларирование промежуточных реле и реле времени компании Electrotecnica Arteche Smart Grid, S. L. в ФБУ «Регистр сертификации на федеральном железнодорожном транспорте». Промежуточные реле серий RD FF, RF FF, RJ FF и BF FF, а также реле времени серии TDF FF успешно прошли испытания и получили разрешительные документы в форме декларации соответствия согласно ГОСТ 9219-88 для использования на подвижном составе железнодорожного транспорта Российской Федерации. Декларации соответствия вступили в силу с 20 марта 2014 года и действительны до 20 ноября 2017 года. Копии деклараций соответствия по ГОСТ 9219-88 со свидетельством о регистрации и разрешением на применение товарного знака ССФЖТ для промежуточных реле и реле времени Arteche предоставляются по запросу.
Atmel Выпущен новый внутрисхемный отладчик ATATMEL-ICE. В сравнении с ATJTAGICE3 он имеет больше отладочных интерфейсов и поддерживает большее количество микроконтрол-
леров Atmel. Новый отладчик теперь работает почти со всей линейкой микроконтроллеров Atmel с ядром ARM Cortex (кроме Cortex-A5) и выпускается в трех версиях: без корпуса и кабелей (ATATMEL-ICE-PCBA), в корпусе и с базовым набором кабелей (ATATMEL-ICE-BASIC) и с полным набором кабелей и переходников (ATATMEL-ICE). Поддержка линеек 8‑ и 32‑битных микроконтроллеров Atmel AVR также расширена. Полная поддержка ATATMEL-ICE в Atmel Studio реализована начиная с версии 6.2. Микропроцессоры с ядром ARM Cortex-A5, ARM9 и микроконтроллеры с ядром ARM7TDMI от Atmel попрежнему поддерживаются только AT91SAM-ICE, который является клоном отладчика J‑LINK компании Segger. Отличие внутрисхемных отладчиков Atmel для ядер ARM: • ATJTAGICE3: – отладка по интерфейсам JTAG, aWire, SPI и PDI; – напряжение питания целевого контроллера: 1,62–5,5 В. • ATATMEL-ICE: – отладка по интерфейсам JTAG, SWD, PDI, TPI, aWire, SPI и debugWIRE; – напряжение питания целевого контроллера: 1,8–5,5 В. • AT91SAM-ICE: – отладка по интерфейсам JTAG и SWD; – напряжение питания целевого контроллера: 1,2–3,3 В. *** Компания Atmel обновила Atmel Studio до версии 6.2. Основные изменения: • Обновлены до последних версий компиляторы GCC для AVR 8, 32 и ARM и библиотека Atmel Software Framework. • Расширен функционал отладки: – Добавлена возможность on-line просмотра переменных. – Улучшена отладка оптимизированного кода. – Добавлены сложные точки останова по совпадению данных. – Добавлена неинтрузивная (non-intrusive) отладка для семейств SAM3 и SAM4, включая инструменты профилирования, трассировщик данных и прерываний. – Добавлена трассировка данных для ARM Cortex-M0+. • Добавлена поддержка новых оценочных плат серии XPlain mini, первым представителем которой является AVR XPlain mini (ATMEGA168‑XMINI).
• Добавлена поддержка нового отладчика ATATMEL-ICE.
Mitsubishi Дополнена линейка мощных транзисторов Ku-диапазона (13,75–14,5 ГГц). Теперь в нее входит 20‑Вт MGFG5H1503. Он обладает высоким коэффициентом усиления — 20 дБ, а значение PAE равно 15% при напряжении питания 24 В и токе покоя 1,7 А. Встроенный линеаризатор уменьшает искажения при применении нового транзистора в мощных передатчиках, например в наземных станциях спутниковой связи. Этот трехкаскадный усилитель заменяет три транзистора — MGF2430A (1 Вт), MGFK38A3745 (6 Вт) и MGFK44A4045 (25 Вт) сразу, получая выигрыш по усилению в 1 дБ. Его можно использовать для раскачки более мощных устройств — MGFK47G3745 (50 Вт) и MGFK49G3745 (80 Вт), выпущенных ранее.
Altera Объявлено о начале сотрудничества с компанией Intel по созданию устройств типа «система в корпусе» (system-in-a‑package). Intel обеспечит сборку модулей по передовым технологиям, а компания Altera предоставит одни из самых совершенных на сегодня СБИС ПЛ. Документ о сотрудничестве является дополнением к соглашению о производстве Altera Stratix 10 FPGA и SoC по технологии 14 нм Intel Tri-Gate. Работая совместно, Altera и Intel смогут разрабатывать многокристальные модули, содержащие в одном корпусе СБИС ПЛ Startix 10, а также микросхемы статической и динамической памяти, специализированные микросхемы (ASIC), процессоры и аналоговые микросхемы. Интеграция достигается за счет использования высокопроизводительной гетерогенной технологии межкристальных соединений. Она превосходит традиционные 2,5D- и 3D-технологии по экономическим показателям. Многокристальные модули обеспечат производительность, пропускную способность памяти и тепловые характеристики, удовлетворяющие самым высоким требованиям. Основные области применения многокристальных систем: высокопроизводительные вычислительные системы, высокопроизводительное сетевое оборудование, системы телерадиовещания и военного назначения. Санкт-Петербург, ул. Новолитовская, д. 15, лит. А, бизнес-центр «Аквилон», офис 441; (812) 327-86-54; e-mail: zav@efo.ru.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
технологии
185
Сборка микросхем в России: реальность и перспективы
Сергей Беляков belyakov@gsnanotech.com
Современное состояние рынка корпусирования микросхем в мире и РФ Развитие рынка полупроводниковых компонентов и значительная перестройка мировой электронной индустрии в последние три десятилетия привели к разделению крупных вертикально интегрированных компаний с полным циклом разработки и производства микросхем (IDMs) и появлению как минимум трех основных бизнес-моделей отрасли. Это отдельные полупроводниковые производства (foundry), связанные с ними сервисы корпусирования и тестирования интегральных схем (OSAT) и компании — разработчики микросхем, не имеющие собственного производства (fabless). Такое положение создало условия для отдельных стран сфокусироваться на развитии полупроводниковой отрасли в рамках одной или сразу нескольких бизнес-моделей. Что касается корпусирования микросхем, то в большей степени в этом преуспели страны Азиатского региона. Основные лидеры рынка корпусирования, такие как Amkor, ASE, SPIL и ряд других, располагают основными производственными мощностями в Китае и Тайване: примерно 70% этого рынка концентрируется именно в этих государствах. Развитая сеть технологических парков и низкая относительно западных стран себе-
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Не секрет, что темпы развития российской микроэлектронной индустрии отстают от динамичного развития мировой микроэлектроники. Подавляющее большинство отечественных производителей микросхем работают исключительно на покрытие внутреннего спроса страны, который в основном формируется сферой спецназначений. Однако игроки рынка часто сталкиваются с ситуацией, когда существующих в России технологий недостаточно для удовлетворения потребностей заказчиков. В этой связи отечественные производители микроэлектроники вынуждены покупать современные электронные компоненты за рубежом. Но в силу специфики российского рынка многим клиентам требуются микросхемы именно отечественного производства. Одно из возможных решений в этой ситуации — использование кристаллов микросхем зарубежных производителей, отвечающих требованиям заказчика, при этом корпусирование и тестирование интегральных схем должны осуществляться российскими компаниями, что в конечном итоге позволит создать чип уже отечественной сборки. На сегодня в России такая бизнес-модель развита слабо, но в последние годы начинают появляться предприятия с современными технологиями корпусирования микросхем, способные удовлетворить возрастающие запросы по сборке чипов на территории нашей страны.
стоимость производства позволяют успешно развивать такую бизнес-модель. При этом в последние годы в мире наблюдается четкий тренд ускорения цикличности смены технологий корпусирования: каждые 5–7 лет мировые лидеры этого рынка значительно обновляют оборудование и технологии. Ежегодный прирост рынка нового оборудования в мировых масштабах составляет порядка 20%. Что касается российской электронной промышленности, то ей пока не удается встроиться в глобальную экосистему, и она занимает обособленное место. Доля российских компаний, занимающихся сборкой микросхем, в мировом объеме ничтожно мала. Очевидно, что у большинства отечественных производителей интегральных схем технологии сборки микросхем значительно уступают современным мировым стандартам. Так почему же в российской микроэлектронике с таким трудом внедряются современные технологии? Наш рынок слишком зациклен на внутреннем спросе. Порядка 80–90% отечественного производства микросхем — это военные заказы. Специфика этого сегмента заключается в его закрытости и применении ограниченного набора технологий. При всех заявлениях о необходимости модернизации усовершенствование производства, и в том
числе технологий сборки микросхем, на деле идет очень медленно. Если же говорить о российском рынке гражданских применений, то пока ситуация складывается в пользу покупки готовых чипов от азиатских, американских или европейских производителей, которые в общей сложности поставляют в Россию порядка 90% всей микроэлектронной продукции. Все старания лидеров отечественной отрасли в последние два десятилетия по технологическому обновлению лишь незначительно сократили отставание от мировой индустрии. При этом попытки разместить иностранные заказы в России по тем или иным причинам, за редким исключением, заканчиваются неудачей. В этих условиях говорить о массовом внедрении современных технологий сборки микросхем в нашей стране пока не приходится. Но не все так безнадежно. В последние годы все больше российских заказчиков, как оборонных, так и гражданских, ищут возможности сборки чипов в РФ. Причем спрос все чаще появляется на современные типы корпусов и продвинутые технологии сборки. Это способствует развитию бизнес-модели корпусирования в России. Шанс занять эту нишу появляется не только у предприятий, тесно связанных с госсектором, но и у частных компаний. www.kite.ru
186
технологии
Рис. 1. Дорожная карта развития технологии 3D-сборки микросхем (источник: Yole Development)
Современные технологии корпусирования ИС: перспективы применения в РФ Мировой рынок сборки микросхем двигается в сторону все более сложных многокристальных решений на основе технологий Wafer Level Packaging и 3D-интеграции. Многокристальная и 3D-сборка начали активно проникать на мировой рынок более десяти лет назад. На рис. 1 представлена дорожная карта развития технологии 3D-сборки микросхем в период до 2019 года. Очевидно, что в мировом масштабе будущее корпусирования именно за этой технологией. Однако в России этот процесс запаздывает. На сегодня методами 3D-сборки в полной мере ни одно из отечественных предприятий не располагает, хотя за последние несколько лет неоднократно делались заявления о намерениях внедрить такие технологии на российском рынке. Тем не менее существует ряд компаний с хорошей технологической базой, которые в ближайшей перспективе способны установить соответствующее оборудование и привлечь квалифицированных специалистов для осуществления полноценной трехмерной интеграции. Говоря о многокристальных сборках, следует отметить, что уже сегодня в России есть успешные примеры разработки и корпусирования по технологии «система в корпусе» (system-in-package, SiP).
В российской специализированной литературе много написано о преимуществах многокристальных модулей и технологии SiP. В этой статье мы не будем заострять внимание на этом, лишь напомним основные из них — уменьшение размеров модуля, улучшенная производительность, снижение себестоимости конечного продукта. Эти факторы объясняют повышенный интерес к SiP-технологии со стороны многих компаний в нашей стране. Одним из наиболее ярких примеров использования технологии «система в корпусе» на отечественном рынке может служить разработанный российской компанией GS-Nanotech (ОАО «ДжиЭс-Нанотех») многокристальный модуль с четырьмя интегрированными кристаллами — основным процессором, криптопроцесором, оперативной и флэш-памятью. Этот модуль планируется использовать для спутниковых телевизионных приставок. Прототип модуля представлен на рис. 2. Как видно на рис. 2, кристаллы в корпусе располагаются в одной плоскости, что принято называть планарной, или 2D SiP технологией. При этом кристаллы разваривались золотой проволокой по технологии Wire Bond в пластиковые корпуса BGA. Все операции проводились на современном оборудовании предприятия «ДжиЭс-Нанотех», расположенного в городе Гусев Калининградской области. Компания предлагает полный комплекс услуг по проектированию, сборке и тестированию цифровых и аналоговых микросхем, а также
многокристальных модулей. Объемы производства достигают 17 млн микросхем в год. «ДжиЭс-Нанотех» также располагает мощностями, позволяющими собирать сложные высокоинтегрированные структуры в корпуса типа QFN и LGA. Уже сегодня на предприятии ведутся разработки по сборке кристаллов по технологии Flip-Chip (метод перевернутого кристалла). В среднесрочной перспективе компания ставит перед собой задачу освоить корпусирование микросхем на основе технологии 3D-сборки. «ДжиЭс-Нанотех» делает ставку на эту перспективную технологию, которая позволяет достичь высокой степени интеграции, как одну из своих будущих основных компетенций. Это поможет компании занять лидирующие позиции в микроэлектронной промышленности страны и усилить свои позиции на зарубежных рынках.
Рис. 2. Прототип многокристального модуля, аналог серийного образца
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
технологии
Одним из важных аспектов корпусирования микросхем в России является экономическая целесообразность. Оправдана ли эта бизнес-модель в РФ? Выгодна ли для заказчика сборка чипов в РФ или дешевле покупать готовые компоненты за рубежом? Предлагаем рассмотреть следующую бизнес-модель сборки микросхем в РФ: • дизайн микросхемы или системы в корпусе (SiP) в России; • изготовление пластин на любой сторонней фабрике (foundry); • финальная сборка и тестирование в России. Развитие такой бизнес-модели в нашей стране позволит получить российский чип, полностью «кастомизированный» согласно требованиям заказчика. Помимо этого, такая модель ведет к сокращению сроков реализации инженерных идей и запуска продуктов благодаря быстрому доступу к современному контрактному сборочному производству в РФ. Разработкой и корпусированием интегральных схем, как и систем в корпусе (SiP), вполне могут заниматься небольшие отечественные инженерно-производственные компании, имеющие в штате высококвалифицированных сотрудников и новейшее оборудование по сборке чипов. Одним из таких предприятий является «ДжиЭс-Нанотех». Безусловно, в процессе реализации предложенной бизнес-модели возникают сложности коммерческого характера, напрямую влияющие на экономическую составляющую. Особенно это проявляется при производстве гибридных микросхем или систем в корпусе, заключающих в себе несколько компонентов разных компаний. В производственной цепочке заказного модуля (SiP) могут участвовать несколько поставщиков и производителей кристаллов. Возникает необходимость координации работы с множеством компаний, имеющих свои устоявшиеся бизнес-процессы и технологические возможности. Поэтому для предприятий, занимающихся сборкой, важно не только располагать продвинутыми технологиями и высококвалифицированными инженерами, но и сильными проектными менеджерами, способными вести переговоры и добиваться выгодных предложений на кристаллы от ведущих мировых компаний. И все же, в чем состоит экономическая выгода для сборочных предприятий и их клиентов? В зависимости от назначения микросхемы и технологии корпусирования доля стоимости сборки корпуса может достигать 30% (и даже более) в себестоимости готового чипа. При правильном подходе корпусирование микросхем в РФ может стать маржинальным бизнесом. Собственный опыт «ДжиЭс-Нанотех» показывает, что предложенная бизнес-модель
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
жизнеспособна и прибыльна. Например, при выпуске многокристального модуля предприятию удалось достичь снижения себестоимости почти на 20% по сравнению с дискретными микросхемами, которые раньше компания покупала напрямую от производителей. Подобный результат был достигнут за счет миниатюризации размеров модуля и, соответственно, снижения затрат на прямые материалы, используемые в процессе корпусирования, — подложки, проволоки, клеи, молды и т. п. Помимо этого, уменьшение размера модуля влечет за собой возможность оптимизации печатной платы и параметров корпуса конечного устройства, что в свою очередь также ведет к экономии материалов и сокращению затрат на производство. Еще один важный аспект: предприятие пользуется преимуществами особой экономической зоны Калининградской области, а значит, имеет льготные условия налогообложения на ввоз кристаллов. В целом сотрудничество «ДжиЭс-Нанотех» с разными заказчиками подтверждает, что при производстве систем в корпусе можно добиться значительного снижения себестоимости относительно совокупной стоимости дискретных компонентов. Можно резюмировать, что многокристальная сборка микросхем в России — это выгодный бизнес как для предприятия, производящего сборку, так и для потенциальных клиентов.
Шанс для России занять свою нишу на мировом рынке Очевидно, что производство микросхем не может быть конкурентным в международном масштабе, если на нем выполняются в основном заказы военной промышленности. В развитых странах доля продукции для обороны и спецназначений составляет порядка 10–20% от общего объема полупроводникового производства. Остальное генерируется за счет потребительского сектора. В России эта пропорция — обратная, что ограничивает возможности отечественной электроники встроиться в глобальный рынок.
Корпусирование микросхем в РФ — один из способов переломить эту ситуацию и сократить технологическое отставание. При этом не стоит ориентироваться только на внутренний рынок. Российские предприятия, оснащенные современным оборудованием и технологиями, могут предложить западным заказчикам сборку чипов на территории РФ. Какие же преимущества могут получить зарубежные компаний при размещении заказов на корпусирование в России? Во‑первых, для западных производителей открываются дополнительные возможности выхода на российский рынок со своими продуктами и решениями. В свою очередь, для отечественных компаний также появляются перспективы выйти на международные рынки. Во‑вторых, это удобное местоположение — особенно это касается предприятий, находящихся в европейской части нашей страны. Для заказчиков из Европы целесообразнее кооперироваться с российскими компаниями, чем с азиатскими. Это решает множество транспортных и логистических вопросов и позволяет экономить время доставки. В‑третьих, это экономическая составляющая: уже сегодня отечественные предприятия могут предложить конкурентную цену на сборку микросхем для западных партнеров. Если Россия стремится стать активным участником международного рынка, то, прежде всего, должна найти свою нишу. На современном этапе это может быть импорт зарубежных кристаллов и сборка чипов в России, а также проектирование и корпусирование многокристальных модулей как для российских, так и для зарубежных заказчиков. Следующим этапом может стать внедрение современных технологий 3D-сборки. После того как будет накоплен достаточный опыт в этой области, можно будет постепенно переносить и осваивать другие технологии, востребованные на мировом рынке. Такое поступательное движение будет способствовать структурному и технологическому возрождению и развитию российской микроэлектронной отрасли и позволит ей занять достойное место на международной n арене.
Реклама
Сборка микросхем в России: насколько это выгодно?
187
www.kite.ru
188
технологии
Методы оформления отверстий в «сырых» LTCC и НТСС керамических картах Виктор Черных Андрей Хохлун Ёжи Штупар Сергей Чигиринский
В статье описаны методы оформления переходных отверстий и окон в сырой керамической пленке, применяемой при создании многослойных корпусов и плат на основе низко- (LTCC) и высокотемпературной керамики (HTCC). Указаны основные преимущества и недостатки методов прошлых лет и нашего времени.
Введение При создании электрических связей элементов конструкции в многослойных металлизированных керамических коммутационных платах и корпусах интегральных схем (ИС) (рис. 1, 2, 3), а также монтажных «колодцев», в которых будут размещены различные дискретные элементы (кристаллы ИС, кварцевые резонаторы, емкости, индуктивности и т. п.), используют различные методы их оформления. Наиболее распространенные методы оформления отверстий различных размеров и форм в сырой керамической пленке LTCC и НТСС изделий для ИЭТ представлены в таблице 1.
а
б
Рис. 1. Электрические связи внутренних контактных площадок, монтажной площадки и ободка с внешними металлизированными площадками организованы: а) через боковые металлизированные отверстия; б) через внутренние отверстия, заполненные металлизацией
Метод 1 Он применяется с начала производства керамических многослойных изделий [1]. Первоначально предпочтение в его использовании было обусловлено относительно простой конструкцией изделий с небольшим количеством выводов (4–64) корпусов интегральных схем. Электрическая связь токоведущих элементов конструкции таких корпусов осуществлялась через торцевые поверхности — торцевую металлизацию, а количество карт в пакете составляло от трех до шести штук. Как особенность такого метода можно отметить сравнительно небольшие размеры обрабатываемых керамических карт (до 100110 мм), что позволяет проектировать, изготавливать и использовать в производстве твердосплавные штампы приемлемой сложности и стоимости (до 300 тыс. руб.), имеющие ресурс до 2–5 млн ударов и малую ремонтопригодность (возможность шлифовки рабочих частей). Типовые варианты конструкций изделий, технологический раскрой карт корпусов ИС представлены на рис. 2, 3 и 4.
Рис. 2. Типовые керамические корпуса ИС с небольшим количеством выводов (предприятие «ДЗРД»)
Рис. 3. Пакет из трех карт с отверстиями — групповая заготовка для корпусов «ТИР» (предприятие «ДЗРД»)
Таблица 1. Методы оформления отверстий различных размеров и форм в сырой керамической пленке LTCC и НТСС изделий для ИЭТ Метод
Краткое описание метода
1
Групповой метод оформления отверстий различной формы и размеров Групповой метод оформления отверстий различной формы и размеров
2 3
Единичная пробивка отверстий
4
Единичная «пробивка» отверстий
Краткое описание оснастки (оборудование, инструмент) Оригинальные твердосплавные многоместные штампы Универсальные штампы с использованием пуансона из полиуретана (резины) и матрицы (копира) из твердого сплава Оригинальные наборы твердосплавного единичного инструмента (пуансон и матрица) для универсального автоматического оборудования пробивки отверстий Оформление отверстий методом вырезки лазерным лучом
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
технологии
сти специализированных групповых штампов. Тем не менее для ряда групп серийных изделий этот метод с успехом используется и сегодня.
Метод 2
а
б
Рис. 4. Оборудование для работы со штампом: а) пресс с нижним приводом (предприятие «ДЗРД», СССР, 1980‑е гг.); б) узел пробивки установки формирования отверстий PAM‑8 (KEKO Equipment, Словения)
Для эксплуатации штампов используются универсальные механические (пневматические) прессы с усилием около 3 т (рис. 4). Следует отметить, что дальнейшее увеличение размеров карт потребовало значительного увеличения сложности и стоимо-
Рис. 5. НТСС-корпус и плата (36×50 мм) керамического модуля (ФГУП «Субмикрон»)
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Последующее развитие конструкций изделий, связанное с миниатюризацией и повышением функциональности и быстродействия мелкосерийных компонентов ЭРИ, предусматривало создание межслойных соединений и увеличение количества слоев от 10 и более [2]. В конце 1970‑х — начале 1980‑х годов не существовало производственного оборудования и технологии для оформления большого количества отверстий (10–20 шт./дюйм2) в сырой керамической карте толщиной 100–200 мкм. Для этих целей служил метод «вырезка резиной и полиуретаном». Обычные методы штамповки, широко применяемые в крупносерийном и массовом производстве, недостаточно эффективны и нерациональны в условиях мелкосерийного и быстропереналаживаемого производства, так как для изготовления конструктивно сложных и дорогостоящих штампов требуется длительное время, при этом затраты не окупаются. В связи с необходимостью быстрого освоения новых видов изделий в таких условиях возникла потребность в новых технологических процессах с использованием дешевой универсальной или частично универсальной оснастки. К таким процессам относятся безматричные (бесштамповые) способы вырезки и пробивки: вырезка резиной и полиуретаном; вырезка пластичными металлами; безматричная пробивка. При этом значительно упрощается конструкция инструмента и удешевляется его изготовление, отпадает необходимость в изготовлении и подгонке вырезных матриц, роль которых выполняют резина или полиуретан. Особенностью этого метода является также использование мощных прессов, обеспечивающих усилие до 7000 кгс/см2. Следует отметить, что для качественного оформления отверстий особое внимание необходимо уделить обеспечению равной толщины керамической пленки в пределах 2–5 мкм по всей поверхности карты, что приводит к введению дополнительной операции — вальцовки сырых керамических карт,
189
а также равномерному распределению отверстий малого диаметра (менее 1 мм) на площади карты. Типовая конструкция изделия с отверстиями, оформляемыми по методу 2, и пример матрицы (шаблона) представлены на рис. 5 и 6. Полиуретановый блок, заключенный в обойму (контейнер), является универсальной матрицей. Роль пуансона выполняет листовой вырезной шаблон (копир), имеющий форму детали со всеми отверстиями. На рис. 6 показан разрез такого шаблона. Коническое уширение делается лишь при вырубке относительно толстого материала (1–2 мм) для облегчения удаления шаблона из заготовки. Вырезной шаблон делают из стали марок У7, У8, Х12 М и ХВТ с термической обработкой до HRC 60-65 и последующей шлифовкой до 7–8 класса шероховатости. Режущие кромки должны быть острыми. Толщина вырезного шаблона (h) зависит от толщины штампуемого материала (S) (табл. 2). Значения минимального диаметра отверстий в керамической пленке, пробиваемых полиуретаном, приведены в таблице 3. Таблица 2. Зависимость толщины вырезного шаблона от толщины штампуемого материала S, мм
0,05
0,2
0,5
1
h, мм
1,5–2
2–2,5
3
4
Таблица 3. Минимальный диаметр отверстий в керамической пленке, пробиваемых полиуретаном Давление, кгс/см2 500 1000 5000
Минимальный диаметр при толщине пленки, мм 0,05–0,2
0,3–0,5
0,6–0,8
0,9–1,2
1,5–7,5 0,5–3,5 0,1–0,7
10–19,5 5–10 0,8–2
15–31,5 8–16 1,5–3
25–46 17–23 2,5–4,5
Следует отметить, что сегодня метод 2 практически не используется из-за низкого качества формируемых отверстий. Тем не менее, для ряда групп серийных изделий данный метод с успехом используется по сегодняшний день, в том числе на установках РАМ‑8 с применением оригинальных блоков пробивки для группового иснтрумента.
Метод 3 При дальнейшем развитии керамических многослойных изделий для ИЭТ потребо-
Рис. 6. Вырезной шаблон
www.kite.ru
190
технологии
Рис. 7. Установка перфорации PAM‑8SCC (KEKO Equipment)
валось создать специальное автоматизированное оборудование, обеспечивающее оформление значительного количества отверстий диаметром от 50 мкм на стандартных керамических картах, с размерами до 1010 дюймов. Сейчас диапазон отверстий в изделиях составляет, как правило, 30–300 мкм. На рис. 7 представлено типовое оборудование для автоматической механической штамповки — установка перфорации серии РАМ. Она относится к группе механических пробивных машин компании КЕКО Equipment (Словения). Механическая штамповка осуществляется с помощью единичного твердосплавного инструмента (пара — пуансон и матрица). Его конструкция показана на рис. 8. Используемый принцип: все пуансоны зафиксированы в пробивочной голове и перемещаются только по оси Z; соответствующие матрицы (втулки с отверстием) неподвижны; керамическая карта захватывается и перемещается с помощью рамки с вакуумными отверстиями по периметру. Управление перемещением карты и команды пробивки выполняются в автоматическом режиме. Минимальный диаметр отверстий составляет 80 мкм (при серийном производстве) и 50 мкм (лабораторное производство, опытные образцы). Скорость пробивки одним инструментом — до 20 отверстий/с. Скорость пробивки с использованием многоместных блоков — до 100 отверстий/с. Преимущества механической штамповки на установках КЕКО: • Возможность штамповки керамической пленки, находящейся на подложке ПЭТ (лавсан, в английском варианте — Mylar). • Хорошее качество поверхности среза отверстий без изменения свойств материала. • Высокая производительность при использовании нескольких блоков пробивки или многоместных пуансона/матрицы.
Рис. 8. Пример среза узла пробивки для карт толщиной до 350 мкм
• Относительно низкая стоимость базовой машины. • Высокая точность размеров выполненных отверстий на карте: не более ±5 мкм. • Широкий диапазон толщины обрабатываемой керамической ленты: от 5 мкм до 2 мм. • Возможность автоматизированной работы «из кассеты в кассету». • Малое время замены инструмента: 10 мин. для пуансона (матрицы), 30 мин. для смены всей пробивной сборки. • Возможность оформления одним квадратным инструментом (например, 22 мм) различных размеров монтажных окон (например, 34; 44 мм и т. д.) путем задания соответствующей программы пробивки по площади отверстия. • Количество одновременно установленных инструментов в машине — до 8 шт. • Возможность одновременного совместного использования штампа и до четырех типоразмеров пуансонов. Недостатки механической штамповки на установках КЕКО: • Минимальный диаметр перфорации ограничен стойкостью инструмента: для размеров меньших, чем 100 мкм, стойкость инструмента мала из-за хрупкости материала. • Естественный износ инструмента при штамповке из-за высокой абразивности материала керамической ленты. Для карт толщиной 0,15–0,3 мм среднее количество пробиваемых отверстий более 1 мм составляет около 2 млн шт., для отверстий менее 1 мм — 200–500 тыс. шт. (до 100 тыс. шт. для отверстий 0,1–0,15 мм). • Менее гибкая по сравнению с лазерным вариантом пробивки. (Требуется замена инструмента с другими размерами вместо уточнения программы реза на установке лазерной резки.) Износ инструмента сильно влияет на качество штамповки и зависит в основном от толщины ПЭТ-пленки и ее типа. Для тонкой ПЭТ (лавсан, ниже 30 микрон) при изно-
се инструмента велика вероятность того, что лавсановая высечка будет «закусана» между пуансоном и матрицей, и инструмент будет сломан. Толстую ПЭТ (более 75 мкм) трудно пробить с помощью инструмента малого диаметра (<150 мкм). Для лучшей производительности штамповки рекомендуется использовать специальный носитель керамической ленты, например «белый майлар». Этот вид ПЭТпленки был специально разработан для механической перфорации. Рекомендуется располагать карту на установках пробивки КЕКО таким образом, чтобы пленка ПЭТ находилась со стороны пуансона (сверху). Опыт показывает, что качество перфорированных отверстий улучшается, а срок службы инструмента увеличивается. Отверстия в толстых керамических лентах (выше 200 мкм) можно пробивать без несущей пленки ПЭТ. Штамповка без пленки ПЭТ обеспечивает максимальный срок службы штамповочного инструмента, однако необходимо проверить поведение керамической карты без несущей ленты по всему производственному процессу, определить, где могут произойти неожиданные усадки или искажения формы изделия. Этот метод наиболее распространен. Например, несколько типов корпусов, ранее выпускаемых на ФГУП «Субмикрон» (теперь НИИ «Субмикрон») по методу 2, сейчас освоены на предприятии «ДЗРД» с применением оборудования КЕКО Equipment, то есть по методу 3.
Метод 4 Особенность метода лазерной перфорации в том, что требуется проведение обязательных тестов совместимости керамической ленты с лазерным излучением. Наиболее распространенные типы лазерных установок (рис. 9) — это твердотельные или СО2‑лазеры. КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
технологии
а
191
б
Рис. 10. Внешний вид отверстий: а) 30‑мкм, пробитых лазером; б) 100‑мкм, пробитых механически [4]
Таблица 4. Сравнительные характеристики методов оформления отверстий в «сырых» керамических картах для НТСС и LTCC изделий
Основные характеристики метода: • Скорость пробивки: – до 100 отверстий/с (режим одиночного луча); – до нескольких тысяч отверстий/с (режим мультилуча). • Минимальный диаметр отверстий: – 10 мкм для твердотельного лазера; – 100 мкм для лазера CО2. Преимущества метода: • высокая скорость; • простота программирования; • гибкость при перенастройке размеров оформляемых отверстий; • возможность пробивки наименьших диаметров отверстий1; • отсутствие расходных материалов. Недостатки метода: • Применимость этого метода ограничена свойствами керамики при выраженной зависимости оптических параметров керамической ленты. К ним относятся цвет, прозрачность, отражательная способность и т. д. Таким образом, на различных керамических лентах получаются разные результаты. • Трудно обеспечить стабильное качество отверстий. • Усадка керамики и лавсана (майлароа). • Образование стекла, формирующего дефекты на краю сквозных отверстий. • Практически невозможно качественно пробить прозрачную лавсановую пленку, что не позволяет провести качественное заполнение (металлизацию) отверстий. • Для лент толщиной >100 мкм возникает проблема удаления «выгоревших», оплавленных частей лавсана (майлара) и керамической ленты. • Значительная стоимость оборудования в сравнении с оборудованием для механической пробивки отверстий (примерно в 2 раза выше). 1 Лазеры с наиболее короткой волной (например, УФ) позволяют формировать минимальные отверстия. Однако могут возникнуть проблемы из-за образования стекла на краях отверстия.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Характеристика/метод
1 (штамп)
2 (полиуретан)
3 (пуансон/матрица)**
4 (лазер)**
Мелкосерийное и опытное производство
Серийное и опытное производство
Мелкосерийное/ массовое производство*
Уровень производства изделий
Массовое производство
Возможность обработки керамических карт на подложке (майларе)
Возможно
Нет
Возможно, предпочтительно
Возможно, не рекомендуется
Размещение отверстий на карте
Нет ограничений
Предпочтительно равномерное
Нет ограничений
Нет ограничений
Минимальное расстояние между отверстиями ≤∅1 мм
∅(+2–5) мм
∅(+1–2) мм
1–1,5 толщины карты
Нет ограничений
Минимальный диаметр отверстий в производстве, мм
≥0,3
≥0,1
≥0,08
≥0,01
Относительная стоимость оснастки в сравнении с оснасткой по методу 1
1
0,01–0,05
0,05–0,2
0,005–0,01***
Относительная стойкость оснастки в сравнении с оснасткой по методу 1
1
0,05–0,2****
1
–
Наличие в оборудовании автоматического контроля качества пробивки отверстий
Нет
Нет
Есть
Нет
Хорошо
Хорошо/ удовлетворительно
Отлично
Хорошо/ удовлетворительно
Стабильность уровня качества отверстий
Примечания. * Менее 5% производителей используют лазерную пробивку для массового производства. Это связано со взаимодействием лазера и керамики, а также зависит от применения конечного продукта. Для продуктов со специальным применением этот метод формирования отверстий не используется. ** Стандартные габариты карт, применяемых в производстве, — 5, 6, 8 и 10 дюймов. *** Стоимость сервисной оснастки для крепления карты и удаления отходов. **** Для полиуретана.
• При небольшом изменении параметра лазерного луча могут получаться совершенно разные результаты (рис. 10).
Заключение Сравнительные характеристики методов оформления отверстий в «сырых» керамических картах для НТСС и LTCC изделий предn ставлены в таблице 4.
Литература 1. Батыгин В. Н., Метелкин И. И., Решетников А. М. Вакуумно-плотная керамика и ее спаи с металлами. М.: Энергия, 1973. 2. Исаченков Е. И., Бирюков Ю. Д. Перспективы совершенствования листовой штамповки эластичными и жидкостными средами // Кузнечноштамповочное производство. 1972. № 1. 3. http://www.lpkfusa.com/protomat/pl_s.htm 4. http://www.keko-equipment.com
Реклама
Рис. 9. Лазерная установка для пробивки отверстий [3]
www.kite.ru
технологии
192
измерительная аппаратура
Электронные токовые шунты для измерений с высокой точностью Сергей Корнеев info@prist.ru
С
овременные токовые шунты (ТШ) позволяют проводить измерения в цепях постоянного и переменного тока и обеспечивают широкий частотный диапазон. В настоящее время для прецизионных измерений применяются шунты с диапазоном частот до 100 кГц и точностью, которую ранее не могли обеспечить коммерчески доступные технологии их производства. Обычно шунты представляют собой набор низкоиндуктивных мер сопротивления и обеспечивают заявленную точность при номинальной нагрузке. Лабораторные ТШ характеризуются стабильным сопротивлением, низким температурным коэффициентом и широким диапазоном сопротивлений. С их помощью точные измерения можно выполнять в один этап. Ранее для этих целей требовались более сложные методы с использованием традиционных эталонов‑переносчиков переменного и постоянного тока. Типичная схема определения параметров источников питания с использованием ТШ приведена на рис. 1. Электронная нагрузка, которая используется для задания определенного значения тока, не обеспечивает образцовой точности, поэтому в качестве образцового средства измерений используется ТШ. В этом случае действительное значение тока в измерительной цепи определяется соотношением:
Iизмi = UV2/RИ. Так же, как и электронные нагрузки, которые пришли на смену механическим реоста-
В статье рассматриваются современные лабораторные средства измерения — электронные токовые шунты, которые применяются в качестве образцовых средств измерений постоянного и переменного тока.
там, электронные шунты представляют собой набор механических мер сопротивления, размещенных в одном лабораторном приборе и электронно-коммутируемых с измерительной цепью. Электронные шунты имеют индикаторы для отображения результатов измерений или выходы для подключения измерительного оборудования. Рассмотрим несколько вариантов современных лабораторных ТШ. АКИП‑7501 (рис. 2) выполнен в моноблочном корпусе с четырьмя входными терминалами на передней панели для подключения к измерительным шунтам. Два гнезда терминала CURRENT INPUT (красный/черный) обеспечивают последовательное подключение выбранного сопротивления измерительного шунта к нагрузке. Подключение может быть выполнено при помощи соединителя типа «банан» (4 мм) или винтовой клеммой типа «под зажим». Максимальное допустимое значение протекающего тока указано на передней панели прибора над соответствующим терминалом. Переключатель пределов RANGE при помощи пяти клавиш служит для выбора потенциальных выходных клемм VOLTAGE OUTPUT (пределы падения напряжения) и коммутации к цепи встроенного амперметра (4,5 разряда). В этом же поле панели осуществляется выбор режима шунта: АС (при активации загорается сигнальная лампа) или DC (лампа не горит). Текущее значение тока на шунте можно измерить с помощью встроенного цифрового амперметра, имеющего 4,5 разряда. Подключение
Рис. 1. Структурная схема определения параметров источника питания: ЛАТР — линейный автотрансформатор; V1 — вольтметр напряжения питания; V2 — вольтметр для определения выходного тока источника питания; RИ — мера сопротивления — шунт; RН — нагрузка электронная (реостат)
ТШ к потенциальному выходу и встроенному амперметру производится при помощи кнопочного переключателя. При этом не обязательно отключать нагрузку от источника тока при переключении предела, так как все шунты изолированы друг от друга. АКИП‑7501 обеспечивает прецизионную точность. Предел допускаемой основной погрешности ТШ по сопротивлению составляет 0,01–0,02% (в зависимости от предела) на постоянном токе и 0,1% на переменном (до 400 Гц). Такая точность обеспечивается передачей единицы измерения от Государственных первичных эталонов по поверочной схеме. Данные шунты внесены в Госреестр СИ и рекомендованы к применению в качестве эталонного оборудования. Следующая модель электронного ТШ — PCS‑71000 (рис. 3) — является новой разработкой компании Good Will Instrument (Тайвань). Этот электронный шунт сочетает в себе сразу три прибора — многозначную меру сопротивления, амперметр 6 1/2 разряда и вольтметр 6 1/2 разряда. Данный ТШ имеет тот же набор прецизионных мер сопротивления, что и его аналог АКИП‑7501, — пять эталонных мер 0,001, 0,01, 0,1, 1, 10 Ом, программно коммутируемых с измерительной цепью. Предел допускаемой основной погрешности шунта по сопротивлению также составляет 0,01–0,02% на постоянном токе и 0,1% на переменном (до 400 Гц). Однако есть ряд существенных отличий в метрологических и конструктивных параметрах.
Рис. 2. Внешний вид токового шунта АКИП‑7501
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
измерительная аппаратура
технологии
193
а
б Рис. 3. Внешний вид токового шунта PCS‑71000
Рис. 5. Схема монтажа в 19″ стойку: а) одного прибора; б) двух приборов
Рис. 4. Схема подключения высокоамперного измерительного кабеля
Рис. 6. Схема включения PCS‑71000 при тестировании источника питания
Например, шунт PCS‑71000 имеет более высокий верхний предел по току 300 А, который разбит на пять поддиапазонов — 300, 30 и 3 А, 300 и 30 мА. Диапазоны 3 А, 300 и 30 мА имеют один выход, на который программно коммутируются меры сопротивлений. Такое решение, казалось бы, повышает риск выбрать ошибочно диапазон и вывести прибор из строя, если подать ток, превышающий выбранный предел. Но разработчики предусмотрели ряд защитных функций, которые предотвращают ошибку оператора. Выбор предела по току прибор может осуществлять автоматически, переключая при этом требуемое сопротивление, если ток будет превышать допустимое значение. Высокоамперный выход выведен на заднюю панель. Подключение осуществляется стандартным способом: измерительный провод крепится к клеммам болтовым соединением (рис. 4). В результате ТШ PCS‑71000 получился более компактным, чем АКИП‑7501. Разработчики сделали конструкцию ровно в половину 19″ стойки. Это позволяет сэкономить место на рабочем столе оператора (рис. 5). PCS‑71000 оснащен полнофункциональными высокоразрядными амперметром и вольтметром. Для вольтметра предусмотрены отдельные входы, рассчитанные на напряжение 600 В для сигнала переменной частоты и до 1000 В постоянного напряжения. Индикаторы тока и напряжения имеют
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
6,5 разряда и могут использоваться для проведения комплексного тестирования параметров источников питания. На рис. 6 представлена типовая схема подключения шунта в режиме тестирования источника питания. Помимо встроенного амперметра, для проведения прецизионных измерений в PCS‑71000 предусмотрен потенциальный выход (аналогично с АКИП‑7501). Встроенные вольтметр и амперметр имеют настройки, как и более функциональные средства измерений — универсальные вольтметры. При считывании показаний пользователь может задать число усреднений, выбрать разрядность индикатора, а также настроить скорость отображения результатов измерений на индикаторе. Кроме того, большим плюсом этого ТШ является наличие интерфейсов дистанционного управления USB и GPIB, что позволяет его использовать в автоматизированных измерительных системах. Все ТШ характеризуются коэффициентом мощности. С повышением протекающего через них тока изменяется его номинальное сопротивление. Конструктив и типы используемых компонентов в данных моделях разные, но зависимость изменения сопротивления от мощности в обеих моделях линейная и не превышает пределов допускаемой основной погрешности шунта по сопротивлению.
Для точных электроизмерений немаловажное значение имеют не только технические характеристики СИ, но и соединительные кабели — как самостоятельный элемент схем коммутации при тестировании. В области электроизмерений подход к конструкции кабельной сборки или перехода должен осуществляться исходя из специфики и условий его применения. Компания «ПриСТ» рекомендует пользоваться измерительными проводами только известных торговых марок, таких как Pomona (США). Компания Pomona, имеющая более чем 50‑летний опыт в производстве аксессуаров к измерительным приборам, учитывает все современные требования к такой продукции, а в производстве использует только высококачественные материалы. Для обеспечения предела диапазона по току до 250 А предлагается опция специального высокостабильного по сопротивлению кабеля. Электронные ТШ АКИП‑7501 и PCS‑71000 являются новейшими разработками в области точных электроизмерений и обладают всеми достоинствами современных лабораторных средств измерений, такими как: • высокая точность измерений; • компактное исполнение; • универсальность и многофункциональность; • возможность дистанционного управления (PCS‑71000). n www.kite.ru
194
новости
измерительная аппаратура
Новые высокопроизводительные осциллографы Infiniium серии Z
Реклама
Компания Agilent Technologies, Inc. представила осциллографы Infiniium серии Z, с помощью которых можно выполнять измерения одновременно по 40 синхронизированным каналам с максимальной полосой пропускания в режиме реального времени 63 ГГц (при объединении в систему до 10 осциллографов). Благодаря самому низкому в отрасли уровню собственных шумов и джиттера новые осциллографы обеспечивают высокую эффективность тестирования устройств, созданных на основе новейших технологий, и позволяют инженерам выйти на новые рубежи при разработке современного электронного оборудования. В серию Z входит 10 четырехканальных моделей с верхней границей полосы пропускания от 20 до 63 ГГц, при этом полоса пропускания каждой модели может быть расширена до 63 ГГц. Осциллографы серии Z имеют пользовательский интерфейс нового поколения и обеспечивают более высокую производительность обработки данных. Основные возможности осциллографов серии Z: • Полоса пропускания, достаточная для уверенного захвата третьей гармоники цифровых сигналов, передаваемых со скоростью 28, 32 и 40 Гбит/с.
• Пользовательский интерфейс нового поколения, обеспечивающий возможность анализа сигналов новейших технологий, включая сигналы с пространственной модуляцией. • Дополнительный порт синхронизации, позволяющий выполнять измерения одновременно по 40 каналам. • Емкостный сенсорный дисплей и сенсорные органы управления. • Высокая скорость передачи данных по шине USB 3.0. Серия Z дает возможность более эффективно использовать ключевые технологии, которые впервые были применены в осциллографах Agilent серии 90000Q. Так, технология RealEdge сочетает в себе фирменную архитектуру, специализированные микросхемы и тонкопленочные компоненты нового поколения. В основе RealEdge лежит разработанный компанией Agilent усовершенствованный процесс изготовления полупроводников на основе фосфида индия. Эта технология позволяет работать с высокочастотными сигналами, обеспечивая самый низкий в отрасли уровень собственных шумов и джиттера (порядка 75 фс). Благодаря новым осциллографам инженеры смогут воспользоваться всеми преимуществами воплощенного в осциллографах семейства Infiniium многолетнего опыта компании Agilent в области разработки лучших в отрасли аппаратной части и программного обеспечения. Усовершенствования включают: • Возможность объединения нескольких осциллографов серии Z с программным обеспечением N8822A для создания измерительной системы, содержащей 40 и более каналов. • Совместимость с более чем 40 специализированными измерительными приложениями, включая программы для измерения джиттера, расширения возможностей запуска, анализа результатов измерений, а также тестирования на соответствие требованиям стандартов. • Программа Infiniium Offline, позволяющая анализировать результаты осциллографических измерений на компьютере или ноутбуке без задействования вычислительных ресурсов осциллографа. • Усовершенствованная программа N2807A PrecisionProbe, позволяющая определять характеристики и компенсировать влияние кабелей во всей полосе пропускания осциллографа, вплоть до 63 ГГц. • Гибкая инновационная система пробников Agilent InfiniiMax III, обеспечивающая полосу пропускания до 30 ГГц. www.agilent.com
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
196
технологии
измерительная аппаратура
Корреляция результатов СВЧ-измерений, выполненных с помощью ручного и настольного анализаторов
Том Хоппин (Tom Hoppin)
Все чаще инженеры выполняют измерения в полевых условиях, которые нельзя назвать благоприятными, поэтому потребность в точных ручных измерительных приборах становится все более насущной. При необходимости выполнения множества точных СВЧ-измерений, как правило, пользуются настольным прибором, установленным в лаборатории. Однако совсем недавно был выпущен ручной прибор, который отличается точностью, позволяющей ему соперничать с более дорогими настольными приборами. С помощью современных контрольно-измерительных приборов, которые объединяют в себе несколько различных функций, специалисты могут выполнять высокоточные СВЧ-измерения параметров цепей, спектра, мощности и частоты с корреляцией результатов измерений до сотых долей децибела. Но не все современные ручные анализаторы пригодны для этих целей.
Введение Только сравнив характеристики определенного ручного прибора с аналогичными характеристиками настольного прибора, можно сделать вывод о возможности использования этого ручного прибора для измерений с требуемой точностью. Сначала следует проанализировать характеристики прибора, приведенные в технических описаниях, предоставляемых производителем. При непосредственном сравнении характеристик приборов могут возникнуть трудности, так как характеристики часто получают при строго определенных рабочих условиях, которые отличаются у разных приборов. Например, характеристики ручного прибора могут соответствовать работе в жестких условиях, а настольного прибора — работе в условиях со стабильной температурой окружающей среды. Научно-техническая литература также содержит ограниченные сведения о сравнении настольных и ручных приборов. В связи с этим на повестке дня стоит вопрос о корреляции записанных результатов измерений, выполненных с помощью нескольких настольных приборов и ручного прибора. Это единственный путь, позволяющий оценить возможность применения ручного прибора для точного выполнения СВЧ-измерений при тестировании в полевых условиях.
Зачем нужна корреляция? Корреляция позволяет оценить степень совпадения наборов данных, полученных и записанных при измерении параметров одного исследуемого устройства с помощью разных приборов — высокоточного настольного и ручного. Чем больше степень совпадения данных, тем больше вероятность того, что один прибор можно заменить на другой. В данном случае требуется определить, может ли ручной прибор обеспечить ту же точность измерений, что и настольный. Почему корреляция так важна для гарантии успешной работы исследуемого устройства? Измерения параметров исследуемого устройства (или изделия) на каждом этапе его создания выполняют с помощью множества раз-
ных приборов, к которым, как правило, предъявляют определенные требования. На ранних этапах, таких как проверка проектного решения, разработка изделия и производственные испытания, измерения обычно выполняют с использованием настольных приборов в контролируемых лабораторных условиях. Для измерений в процессе проведения опытно-конструкторских работ настольный прибор выбирают с учетом его характеристик, функциональных возможностей и динамического диапазона. При заводских испытаниях важны следующие параметры: высокая скорость измерений и низкая стоимость приборов. После монтажа новой системы или отдельного компонента большинство лабораторных измерений необходимо повторить в полевых условиях, чтобы проверить соответствие спецификациям. Дополнительные полевые испытания могут потребоваться при выполнении регламентного технического обслуживания или после внеочередного ремонта. В процессе полевых испытаний ручные приборы используются в особо трудных условиях эксплуатации, включая суровые погодные условия, такие как снегопад, пыльный суховей или морской туман. При проведении любых полевых измерений корреляция полученных данных с результатами лабораторных измерений очень важна для обеспечения успешной работы исследуемого устройства и (или) системы. При неудовлетворительной корреляции данных, полученных в полевых условиях, с результатами лабораторных измерений исправные компоненты могут рассматриваться как неисправные. Более того, неисправные компоненты можно ошибочно принять за исправные. Высокая степень корреляции позволит всем участвующим сторонам принять объективное решение о соответствии исследуемого устройства спецификациям проекта.
Подтверждение корреляции результатов измерений Для определения того, настолько высока степень корреляции данных, полученных с помощью ручного прибора и высокоточных настольных приборов, может потребоваться проверка нескольких разных типов измерений, например спектральных составляющих,
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
измерительная аппаратура
б
а
Рис. 1. Спектр многотонального сигнала частотой 10 ГГц, полученный с помощью: а) анализатора спектра MXA; б) анализатора спектра FieldFox
S‑параметров и ВЧ-мощности, особенно когда ручной прибор имеет функциональность нескольких приборов, таких как анализатор спектра, векторный анализатор цепей или измеритель мощности. Например, на рис. 1 показаны два измерения спектра многотонального сигнала с центральной частотой 10 ГГц. Этот сигнал имеет боковые тоны с одинаковым шагом отстройки относительно центральной частоты и амплитудами, на 10 дБм меньшими амплитуды соседнего тона. С левой стороны показан спектр, захваченный высокоточным настольным анализатором спектра, а с правой стороны — ручным прибором, работающим в режиме анализатора спектра. Для сравнения двух измерений использовались дельта-маркеры. Для настольного прибора был получен результат –40,37 дБ и –40,07 дБ — для ручного прибора. Разность между результатами, равная всего
0,3 дБ, указывает на хорошую корреляцию. Результаты измерений по маркерам для других тонов также свидетельствуют о хорошей корреляции между двумя приборами. Следовательно, хотя ручной прибор в этом примере нельзя рассматривать как полную замену настольного прибора с учетом таких параметров, как скорость свипирования и динамический диапазон, он все же подходит для работы в полевых условиях и для лабораторных измерений общего назначения. Для сравнения результатов измерения S‑параметров рассмотрим пример, приведенный на рис. 2. Здесь в качестве исследуемого устройства использован широкополосный усилитель, работающий в полосе частот от 3 до 12 ГГц и имеющий усиление 23 дБ. Четыре S‑параметра были измерены с помощью трех разных векторных анализаторов цепей — двух настольных приборов и одного ручного прибора. Для всех трех приборов
технологии
197
были заданы диапазон частот от 100 МГц до 26,5 ГГц, 401 точка измерения и полоса пропускания фильтра промежуточной частоты в 10 кГц. В приборах применялась полная 2‑портовая ручная калибровка. Для сравнения три набора сохраненных S‑параметров были поданы на один из настольных приборов и наложены один на другой. На рисунке видно, что три набора измерений практически идентичны, за исключением отклонения характеристики S21, полученной от настольного прибора, что обозначено синей кривой в верхней части частотного диапазона. Это указывает на хорошую корреляцию между ручным прибором (красная кривая) и другим высокоточным настольным прибором (зеленая кривая). В данном случае ручной прибор можно рассматривать как оптимальное средство для измерения S‑параметров в полевых условиях и для лабораторных измерений общего назначения. Для измерения ВЧ-мощности немодулированных, импульсных и сложных сигналов можно использовать различные комбинации приборов, основным компонентом которых является датчик мощности. Такой датчик может работать как отдельный измеритель мощности или как измеритель мощности, соединенный USB-кабелем с компьютером или ручным/настольным прибором. Компьютер или ручной/настольный прибор нужен просто для отображения результатов измерений. Если один и тот же датчик мощности использовать с ручным и настольным приборами, то результаты измерений этих приборов будут очень близки. Поскольку считается, что более точные измерения ВЧ-мощности выполняются с помощью настольного одноприборного решения, мы воспользуемся ручным прибором, способным измерять сигнал мощности непосредственно без выносного датчика. В таблице показана измеренная мощность немодулированного тестового сигнала в зависимости от частоты. Результаты измерения на основе комбинации измерителя и датчика мощности сравниваются с результатами измерения с использованием ручного прибора, имеющего встроенную функцию измерителя мощности. Точность измерения мощности с помощью ручного прибора ниже, чем при применении датчика мощности, поэтому не стоит переоценивать удобство использования одного прибора для измерения мощности в полевых условиях. Ручной анализатор FieldFox измеряет мощность сигнала с помощью функции измереТаблица. Результаты сравнения измерений ВЧ-мощности немодулированного тестового сигнала в зависимости от частоты
Рис. 2. Измеренные S‑параметры усилителя с полосой пропускания от 3 до 12 ГГц: наложение результатов измерений, полученных от приборов Agilent 8510C VNA (синяя кривая), PNA-X (зеленая) и прибора FieldFox, работающего в режиме векторного анализатора цепей (красная)
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Частота, ГГц
Измеритель мощности с датчиком мощности, дБм
FieldFox с функцией СРМ (измерение мощности канала), дБм
0,1 18 26,5
–0,07 –2,9 –3,75
–0,1 –2,84 –3,71
www.kite.ru
198
технологии
ния мощности канала (CPM). Пользователь должен задать полосу частот для измерения мощности канала и использовать короткий коаксиальный кабель для соединения с контрольной точкой.
Ручные приборы становятся конкурентами настольных приборов В приведенных выше примерах продемонстрировано, как эффективно коррелируются данные измерений. Это говорит о том, что современный ручной анализатор может выполнять измерения, результаты которых соответствуют результатам, полученным с помощью настольных приборов. Одна из причин этого заключается в том, что в ручных приборах используются те же принципы выполнения измерений, что и в настольных приборах. Например, некоторые высокоточные ВЧ и СВЧ настольные приборы построены на ос-
новости
измерительная аппаратура
нове монолитных интегральных схем диапазона СВЧ. Такие ИС можно применять и в ручных приборах для объединения нескольких функций в одном компактном решении. Эти ИС с высокой степенью интеграции позволяют улучшить характеристики и повысить надежность ручных приборов с одновременным уменьшением их общего энергопотребления. Кроме того, они позволяют использовать ручные приборы, например, в качестве анализатора спектра, векторного анализатора цепей, измерителя мощности или портативного анализатора антенно-фидерных систем. В дополнение к технологическим преимуществам в современных ручных приборах применяются автоматическая коррекция и встроенная калибровка, с помощью которых высокая точность измерений поддерживается во всем диапазоне частот. Именно эти функциональные возможности позволяют ручным приборам конкурировать с настольными приборами.
Заключение При использовании ручного прибора в полевых условиях важно гарантировать хорошее согласование результатов измерений с данными, полученными с помощью настольных приборов. Проверить это можно, оценив корреляцию полученных данных. На основе приведенных примеров можно сделать вывод о том, что современные ручные приборы имеют достаточные функциональные возможности и характеристики для проведения полевых испытаний и лабораторных измерений общего назначения. n
Литература 1. Корреляция результатов СВЧ-измерений, выполненных с помощью ручного и настольного анализаторов. Рекомендации по применению — www.agilent.com/find/fieldfoxapps
измерительная аппаратура
Расширение линейки универсальных анализаторов цепей PNA-X от Agilent Technologies Компания Agilent Technologies, Inc. расширила семейство нелинейных векторных анализаторов цепей (NVNA) серии PNA-X, представив недорогую модель с верхней границей диапазона частот 8,5 ГГц. Она предназначена для тестирования низкочастотных устройств, используемых в средствах беспроводной связи, например в сотовых телефонах, базовых станциях, беспроводных локальных сетях и других устройствах мобильной связи. Инженеры, занимающиеся тестированием активных устройств в процессе их разработки и производства, теперь могут выбрать модель с частотным диапазоном, которая наилучшим образом подходит для решения конкретных прикладных задач. Новая модель анализатора PNA-X с диапазоном 8,5 ГГц обеспечивает высочайшую производительность и широкие функциональные возможности, необходимые для выполнения разнообразных задач. Более того, относительно невысокая стоимость и меньший диапазон частот позволяют использовать развитые измерительные возможности моделей серии PNA-X при разработке средств беспроводной связи, где особое значение приобретает сокращение сроков испытаний, числа испытательных установок и затрат на тестирование. Для тестирования малошумящих усилителей обычно требуется несколько измерительных установок, в частности, для измерения усиления и согласования слабых сигналов, искажений и коэффициента шума. Анализаторы PNA-X позволяют объединить все эти функции в одном приборе, что дает возможность сократить количество испытательных установок на 75%, а расходы на тестирование — на 30%. Новая модель с диапазоном 8,5 ГГц позволяет использовать возможности нелинейного векторного анализатора цепей инженерам, работающим как в ВЧ-, так и в СВЧ-диапазоне частот. Нелинейные векторные анализаторы цепей компании Agilent являются первым в отрасли решением, позволяющим выполнять измерения и моделирование в процессе разработки нелинейных компонентов. Эти приборы обеспечивают глубокий анализ поведения нелинейных схем, что делает их особенно полезными для ученых, исследующих новые ВЧ-технологии, и инженеров, занимающихся разработкой современных высокопроизводительных активных устройств. Используя NVNA, они имеют возможность измерять X‑параметры, а затем на основе результатов измерений создавать модели X‑параметров, которые могут быть импортированы в систему автоматизированного проектирования радиоэлектронных устройств ADS для моделирования реального поведения линейных и нелинейных компонентов. Основные возможности анализаторов цепей серии PNA-X: • Комплекс измерений за одно подключение. Конфигурируемые 2‑ или 4‑портовые модели анализаторов цепей обеспечивают уникальную воз-
•
•
•
•
можность за одно подключение выполнять измерение S‑параметров (как в непрерывном, так и в импульсном режимах), точки компрессии, интермодуляционных искажений и коэффициента шума. Два встроенных высокопроизводительных источника сигналов делают PNA-X единственным в отрасли 2‑портовым анализатором цепей со вторым внутренним источником. Источники обеспечивают высокую выходную мощность (+16 дБм), низкий уровень гармоник (–60 дБн) и широкий диапазон свипирования по мощности (40 дБ). Самый широкий в отрасли выбор измерительных приложений для тестирования усилителей, преобразователей или модулей с линейными или нелинейными характеристиками. Приложения включают измерения коэффициента шума с векторной коррекцией, измерение точки компрессии коэффициента усиления, интермодуляционных искажений, истинные дифференциальные измерения и нелинейный векторный анализ цепей. Встроенные коммутаторы для маршрутизации сигналов. Коммутаторы обеспечивают гибкие возможности по добавлению аппаратных средств преобразования сигналов или дополнительного измерительного оборудования для выполнения измерений за одно подключение. Встроенные импульсные модуляторы и импульсные генераторы для ускорения и повышения удобства измерений в импульсных режимах. PNA-X — единственные анализаторы цепей с такими функциональными возможностями, что позволяет выполнять импульсные измерения примерно в 30 раз быстрее по сравнению с обычными анализаторами, для которых требуются внешние генераторы и модуляторы. www.agilent.com КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Реклама
200
технологии
измерительная аппаратура
Осциллографы высокой точности Teledyne LeCroy HDO4000/6000: путешествие по просторам времени
Владимир Дьяконов, д. т. н., профессор vpdyak@yandex.ru
Высокая точность и четкость осциллограмм в сочетании со способностью легко обнаруживать аномалии в исследуемых сигналах — таковы отличительные свойства осциллографов HDO4000/6000, созданных корпорацией Teledyne LeCroy, Inc. Эта статья продолжает серию материалов, посвященных применению осциллографов класса HDO, и описывает увлекательное путешествие по просторам мира электрических процессов.
Много памяти, сегментация, синхронизация и запуск Осциллографы HDO4000/6000 [1, 2] имеют не только высокоточные каналы для аналоговых сигналов, но и уникально широкий диапазон временной развертки — от 20 пс/дел. до 2500 с/дел. при числе делений 10 и погрешности ±2,510–6. Для чего нужен столь широкий диапазон времени развертки? Дело в том, что в аппаратах HDO осциллограммы можно считывать не только с экрана самого осциллографа, но и из файлов других подобных устройств, например широкополосных, скоростных и дорогих. И с ними можно также работать на данном осциллографе! Любая осциллограмма характеризуется числом точек и скоростью сбора данных или частотой дискретизации. У осциллографов HDO максимальная частота дискретизации равна 2,5 ГГц. При такой скорости вся штатная память канала (50 Мбайт, с опцией расширения до 250 Мбайт) полностью заполняется всего за пару десятков мс. Но проблема в том, что на экране отображается не более 1000 точек сигнала, поэтому такая огромная память для обычной осциллограммы просто не нужна. Она может использоваться для записи множества осциллограмм. Режим сегментированной памяти позволяет осциллографу HDO разделить захваченный сигнал на сегменты (до 10 000 сегментов), удаляя ненужное межсегментное время, выделить отдельный сегмент для анализа и сохранения, а также последовательно воспроизвести все полученные сегменты. Это предоставляет возможность сохранить высокую частоту дискретизации при отображении ВЧ-сигналов,
Рис. 1. Осциллограмма низкочастотного синусоидального сигнала с частотой 1 Гц
уменьшить время простоя (dead time) и произвести измерения временных интервалов между редко повторяющимися сигналами. Осциллограф имеет систему синхронизации и запуска как с обычными функциями (запуск по фронтам, длительности импульсов и т. д.), так и с функцией TriggerScan, описанной ниже. Возможна работа в автоматическом, ждущем и однократном режиме и управление порогом запуска.
Широчайший диапазон частот и времен исследуемых сигналов Осциллографы HDO имеют широчайший диапазон частот и времен исследуемых сигналов. Нижняя его граница определяется максимальным объемом памяти. Например, на рис. 1 представлена осциллограмма низкочастотного (1 Гц) синусоидального сигнала, который относится уже к инфразвуковым частотам. И это вовсе не является нижним пределом для осциллографов данного типа. А на рис. 2 показана осциллограмма СВЧ-сигнала с частотой 100 МГц от генератора сигналов произвольной формы Tektronix AFG3101 (это его максимальная частота). Хотя она в 100 000 000 раз выше частоты 1 Гц, ее осциллограмма ничем (кроме масштаба) не отличается от осциллограммы 1‑Гц сигнала. В различии частот можно убедиться, лишь рассматривая дескрипторы развертки на рис. 1 и 2 и результаты автоматических измерений.
Рис. 2. Осциллограмма высокочастотного синусоидального сигнала с частотой 100 МГц
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
измерительная аппаратура
Рис. 3. Осциллограмма импульсов и расширение их фронта
Оба примера, кстати далекие от предельных, прекрасно характеризуют широчайшие диапазоны частот и времени, с которыми работают осциллографы класса HDO.
«Лупа времени» Zoom и ее применение в поиске аномалий Многие сигналы очень сложны и содержат участки с длинными и короткими фрагментами, различные наводки (часто высокочастотные) и аномалии. Их просмотр требует специальных средств. Одно из них — «лупа времени» была впервые разработана и применена еще в аналоговых осциллографах Tektronix, а затем и в приборах других фирм. Чаще всего «лупа времени» реализуется в виде двух разверток — основной медленной для просмотра всего сигнала и запускаемой через регулируемый интервал времени быстрой развертки, с помощью которой на весь экран растягивается короткий отрезок сигнала. В цифровых осциллографах HDO «лупа времени» выполнена иначе. Основная цифровая развертка позволяет наблюдать очень большое число отсчетов сигналов, а короткая «развертка» просто выбирает небольшое, но достаточное для наблюдения число отсчетов и позволяет наблюдать их в расширенном (zoom) на весь экран виде с применением, если надо, интерполяции. Включается «лупа времени» кнопкой Zoom на панели управления осциллографом. На рис. 3 в верхнем окне показан импульс с малым временем нарастания и спада (менее 1,5 нс). Фронты импульса трудно разглядеть и проанализировать. Теперь включим режим Zoom и, вращая ручку перемещения по горизонтали, установим яркую часть осциллограммы на нужный участок,
Рис. 5. Осциллограмма синусоидального сигнала на выходе цифрового синтезатора без фильтра (видны ступеньки квантования)
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
технологии
201
Рис. 4. Детальный просмотр высокочастотной наводки на синусоидальный сигнал
подлежащий исследованию, — к примеру, на положительный фронт. Он появляется в нижнем окне рис. 3, и, активизируя дескриптор Zoom, можно перевести управление в расширенное окно и задать новый масштаб по горизонтали и вертикали. Теперь ясно, что фронт имеет длительность всего около 1,4 нс. При просмотре этого импульса использована интерполяция вида sin(x)/x, при которой отсчеты сигнала дополняются 10 промежуточными отсчетами. Если необходимо, можно задать автоматическое измерение длительности даже такого короткого фронта. Аналогичным образом рассмотрим высокочастотные наводки на синусоидальный сигнал (рис. 4). Таким образом, легко увидеть и содержащиеся в периоде сигнала и повторяющиеся аномалии.
Наблюдение квантованного сигнала Благодаря 12‑битной разрядности сигналов (вместо обычной 8‑битовой) осциллографы HDO имеют повышенную четкость осциллограмм и практически незаметные собственные ступеньки от квантования сигналов. Поэтому они предпочтительны для наблюдения квантованных сигналов на выходе цифро-аналогового преобразователя — например, в генераторах с цифровым синтезом сигналов различной формы (рис. 5). Введение в такие преобразователи фильтров позволяет практически убрать ступеньки квантования. Однако высокочастотные шумы и короткие импульсы от дифференцирования ступенек остаются, хотя на первый взгляд и невидимы. Растяжка сигнала по горизонтали и вертикали помогает четко рассмотреть данные аномалии (рис. 6). Несмотря на аппаратную реализацию дискретизации повышенной разрядности (12 бит), осциллографы HDO имеют и такое мощное
Рис. 6. Осциллограмма синусоидального сигнала на выходе цифрового синтезатора и растянутая осциллограмма шума
www.kite.ru
202
технологии
измерительная аппаратура
Рис. 7. Осциллограмма высокочастотной наводки на вершине меандра
Рис. 8. Импульсы с выхода ТТЛ микросхемы и их растяжка
средство повышения четкости осциллограмм и уменьшения шумов, как усреднение по множеству осциллограмм и программное улучшение разрядности на заданное дополнительное число бит (но с потерей эффективной полосы частот). Эти средства включены в панели установки аналоговых каналов (рис. 1, внизу).
Дополнительные графики растяжки Zoom
а
Растяжка Zoom полезна и при детальном анализе импульсных сигналов. На рис. 7 показан пример растяжки вершины импульсов, выявляющий наличие высокочастотной синусоидальной наводки на вершине меандра. Растяжка широко применяется для наблюдения детальной формы импульсов и их фронтов (рис. 8). Она может быть дополнена результатами автоматических измерений длительности фронтов и других параметров импульсов. Обратите внимание, что в панели установок растяжки имеется строка «Действие с Р…» с перечислением видов дополнительной графики растяжки. Например, иконка «Гистогр.» служит для вывода гистограмм статистических измерений (рис. 9а). Иконка «Тренд» выводит график тренда (рис. 9б), а иконка «Слеж.» выводит график слежения (трек) (рис. 9в). Они очень полезны при детальном анализе сигналов.
Просмотр сигналов с качающейся частотой
б
Особое место занимают сигналы с меняющейся во времени частотой. Такие синусоидальные сигналы с медленно изменяющейся частотой формируют специальные генераторы качающейся частоты, которые широко применяются при снятии амплитудно-частотных (АЧХ) и фазо-частотных (ФЧХ) характеристик усилителей, фильтров и других устройств. По осциллограмме таких сигналов видно, что их частота меняется, но по какому закону — неясно (рис. 10). Построение спектра сигнала качающейся частоты мало что дает для его изучения. Разве что пики гармоник сигнала меняют свое положение на горизонтальной оси частот, но по какому закону они движутся, непонятно. Правда, становится заметной асимметрия пиков, свидетельствующая о динамических искажениях при анализе спектра. При медленном качании очень полезны спектрограммы сигнала, дающие ясное представление о законе изменения частоты.
Телевизионная развертка в Рис. 9. Меандр: а) с гистограммой; б) с трендом; в) с треком
Одним из самых сложных сигналов является телевизионный видеосигнал. Он имеет регулярную составляющую в виде импульсов синхронизации кадров и строк и нерегулярную составляющую, несущую информацию об изображении, наблюдаемом на экране телевизора.
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
измерительная аппаратура
технологии
203
а
а
б
б
Рис. 10. Сигнал с выхода генератора качающейся частоты: а) в начале качания; б) в промежутке качания
Наблюдение телевизионного сигнала усложняется тем, что он должен соответствовать одному из ряда стандартов, принятых в мире. Для сокращения полосы частот при создании видеосигнала применяется чересстрочная развертка. Все это приводит к необходимости создавать в осциллографе специальную телевизионную систему запуска и синхронизации развертки. Есть такая система и в осциллографах класса HDO. На рис. 11а показана осциллограмма фрагмента телевизионного сигнала с демоплаты RIGOL Beyond Measure для строки 159 поля 1. Наглядно видна сложность сигнала и необходимость использования при его просмотре «лупы времени». Другой фрагмент данного сигнала представлен на рис. 11б. Нетрудно заметить, это совсем другой сигнал, чем на рис. 11а. Оба фрагмента составляют лишь малую часть строк и полей всего сигнала. Внизу экрана на рис. 11 представлена панель установок для просмотра телевизионного сигнала. Она содержит установки типа и стандарта телевизионного сигнала и указания номеров строки и поля сигнала, подлежащего наблюдению.
Рис. 11. Телевизионный видеосигнал: а) строка 159, поле 1; б) строка 315, поле 4
аномалий, удовлетворяющих заданным условиям. Пример задания поиска аномалий в пачках меандра по заданным условиям показан на рис. 12. Условия задаются в окне, имеющемся в центре экрана. После установки вида аномалий проводится сканирование сигнала с обнаружением всех аномалий в исследуемом сигнале (рис. 13). В панели Trigger List появляется список обнаруженных аномалий с их коротким описанием. Это можно увидеть на рис. 13. Остается выбрать интересующую пользователя аномалию и в панели контроля пустить TriggerScan. Будет построена осциллограмма фрагмента сигнала с отчетливо видимой в центре окна осциллограммы аномалией (рис. 14). В данном случае был задан поиск короткого глюка в составе меандра. Вид аномалии может храниться и в специальном файле. Для загрузки такого файла с указанием его имени служит виртуальная
Поиск аномалий с помощью системы TriggerScan Большие трудности представляет поиск в сигнале редких и нерегулярных аномалий. У многих осциллографов он сводится к использованию искусственно созданного «аналогового послесвечения» (персистенции), задерживающего фрагменты осциллограмм на заданное время (вплоть до бесконечности). Однако осциллограммы при этом накладываются друг на друга, и разобраться, где именно находится та или иная аномалия и что она собой представляет, бывает затруднительно. Кроме того, сложно вывести аномалию на экран дисплея, особенно если она появляется редко и нерегулярно. Для поиска аномалий в сигнале компания LeCroy создала специальную систему сканирования сигналов TriggerScan с поиском
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 12. Меандр с аномалиями и окно установок системы TriggerScan
www.kite.ru
204
технологии
измерительная аппаратура
а
Рис. 13. Система TriggerScan обнаруживает все аномалии
б Рис. 16. Осциллограммы логических сигналов: а) с логических каналов С0–С7; б) с выходов цепочки делителей частоты Рис. 14. Выявленная аномалия — короткий глюк
альному входу подключается многоканальный пробник логических сигналов. Он имеет по две группы из восьми каналов каждая, и каналы обозначаются как C0–C7 в одной группе и С8–С16 в другой. Это соответствует просмотру параллельных каналов передачи данных. Осциллограф с опцией логического анализатора работает подобно последнему и служит для построения логических диаграмм (рис. 16а). Данные передаются в виде горизонтальных отрезков с перепадами между ними, отвечающими переходу от «лог. 0» до «лог. 1» (положительный перепад) и с «лог. 1» на «лог. 0» (отрицательный перепад). Регулировка масштаба для логических сигналов не предусмотрена, поскольку с реальной амплитудой высота горизонтальных отрезков не связана. Длительность перепадов на диаграммах равна 0. Максимальная частота логических сигналов 200 МГц.
Рис. 15. Вызов установок TriggerScan из файла
клавиатура, показанная на рис. 15. Она рассчитана на работу с сенсорным экраном осциллографа, но могут применяться и стандартные средства ПК — мышь и клавиатура, подключенные через разъемы универсальной последовательной шины USB (два таких разъема установлены в правом нижнем углу передней панели осциллографа и четыре — на правой стороне корпуса).
Просмотр логических сигналов Еще в одном домене (области) логических сигналов могут работать новейшие осциллографы HDO4000/6000‑MS. Для этого к их специ-
Рис. 17. Одновременный просмотр логических и аналогового сигналов в разных окнах
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
измерительная аппаратура
На рис. 16б показаны сигналы с цепочки делителей частоты на два, построенных на основе триггеров со счетным запуском. Иногда нужно наблюдать одновременно логические диаграммы сигналов и осциллограммы реальных сигналов на аналоговых входах осциллографа. Это вполне возможно (рис. 17). Такое нужно при исследовании физических особенностей и параметров логических сигналов в нормальном их виде. На рис. 17 аналоговая осциллограмма показана с расширением в левом окне, а логическая диаграмма цепочки делителей частоты — в правом окне. Кстати, у осциллографов предусмотрена возможность настройки на логические сигналы от различных типов микросхем, а также на сигналы с параметрами, устанавливаемыми пользователем.
Построение глазковых диаграмм При исследовании некоторых видов сигналов (заметим, что далеко не всех) наряду с обычными осциллограммами все шире используются специальные глазковые диаграммы. Чаще всего они применяются при исследовании сигналов от высокоскоростных коммутационных устройств, каналов и устройств передачи данных и линий связи. Осциллографы HDO корпорации Teledyne LeCroy позволяют строить красочные глазковые диаграммы вместе с масками, определяющими их допустимое месторасположение и размеры. Сигналы при этом определенным образом нормируются [3] и используются наложенные прямая и инверсная формы нормированного сигнала (или нормированный входной и выходной сигнал тестируемого устройства). В результате получается диаграмма, напоминающая вид раскрытого глаза. Глазковая диаграмма часто строится вместе с осциллограммой исходного сигнала (рис. 18).
технологии
205
Ширина линии глазковой диаграммы возрастает с повышением уровня шумов, а высота «глаза» зависит от амплитуды прямого и инвертированного сигналов. Если она достаточно велика и сигналы пересекаются, то «глаз» открыт и тестируемое устройство работает нормально. При уменьшении высоты диаграммы «глаз» закрывается и работа устройства становится невозможной. Обычно задают допустимую высоту открытого «глаза» с помощью маски в виде восьмиугольника (рис. 19). Средства для создания масок имеются в панели установок глазковых диаграмм. Маска может создаваться и замораживанием самих осциллограмм.
Декодирование и наблюдение сигналов шин В последнее десятилетие массовое применение получили последовательные системы, линии и шины для передачи информации. Напомним, что параллельные шины передают каждый разряд данных по отдельному проводу. А последовательные линии передают данные по одному проводу или каналу связи с временным разделением разрядов. Общее число проводов в последовательных шинах резко уменьшается. Данные в последовательных проводных шинах передаются по согласованным линиям передачи с высокой скоростью. Широко используются и беспроводные линии передачи. Для последовательной передачи данных в разных типах линий предназначены различные стандарты и протоколы кодирования, и для наблюдения сигнала данных требуются специальные демодуляторы. Обычно они придаются как опции для тестирования шин по заданному протоколу (а их применяется с десяток и более типов). Однако в осциллографах HDO6000/60000MS штатно используется опция декодирования по ряду популярных протоколов. Она включается и выключается с панели управления осциллографом нажатием кнопки Decode. На рис. 20а показан результат декодирования давно применяемой шины невысокого быстродействия I2C.
Рис. 18. Глазковая диаграмма и осциллограмма исходного сигнала
а
б Рис. 19. Глазковая диаграмма и маска
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
Рис. 20. Декодирование: а) шины I2C; б) шины SEND
www.kite.ru
206
технологии
измерительная аппаратура
а
Рис. 21. Запись декодирования шины в записную книгу
На рис. 20б приведен пример декодирования шины нового поколения SEND. Интерфейс декодировщика этой шины тщательно проработан и удобен для проведения подробного тестирования шины. Результаты декодирования шин можно зафиксировать в записной книге осциллографа Notebook. Это показано на рис. 21. Тестирование последовательных шин — одна из трудоемких и ответственных работ, требующая подробного знакомства с шинами и протоколами их кодирования и декодирования. Поэтому приведенные выше примеры дают лишь первое знакомство с этой увлекательной темой.
Система расширенного просмотра сигналов WaveScan Всевозрастающая сложность исследуемых сигналов делает процесс исследования сложным и трудоемким. Порой нужно найти один бит (или байт) среди многих миллиардов в большой памяти осциллограмм или короткую особенность в длинной осциллограмме, занимающей тысячи сегментов осциллограмм. Для облегчения этого процесса была создана специальная система просмотра и сканирования длинных осциллограмм WaveScan. Новая функция WaveScan предлагает возможность по обнаружению редких событий в однократной развертке или сканирования развития событий по множеству разверток в течение длительного периода времени. Пользователь может выбрать около 20 режимов поиска (фронт положительный или отрицательный, длительность импульса, частота, время нарастания, скважность и т. д.). Затем требуется задать необходимые условия поиска и активировать поиск особенностей осциллограмм. В отличие от режимов поиска Tektronix и Agilent режим WaveScan не дублирует режимы и установки схемы синхронизации и выполняет поиск не только по временным параметрам, но и по амплитудным или частотным, с индикацией реальных результатов измерений и временных отметок их появления. Осциллограф с WaveScan позволяет просканировать миллионы отсчетов в последовательности для поиска редких явлений и делает это гораздо быстрее и эффективнее, чем другие аналогичные приборы. При этом используется фирменная технология LeCroy — X‑Stream, резко ускоряющая передачу данных при просмотре осциллограмм. WaveScan существенно дополняет, а не использует стандартные функции системы синхронизации и пуска. WaveScan может находить события в течение длительного времени (часы и даже дни) и применять к ним большое количество функций. WaveScan можно настроить на поиск событий, который отсутствует у осциллографов других фирм, например частоты вне ожидаемого диапазона. Затем WaveScan может остановить сканирование, сохранить осциллограмму найденного события и продолжить сканирование (рис. 22а).
б
Рис. 22. Использование WaveScan для поиска: а) положительных фронтов с выводом результатов автоизмерений и микрогистограмм статистики; б) фронтов, длительность которых измеряется
Можно задать, к примеру, поиск фронтов, чья длительность измеряется с помощью автоматических измерений. В этом случае подлежащий измерению фронт выводится в красном прямоугольнике, указывающем уровни, на которых измеряется его длительность (рис. 22б).
Режим работы History Когда мы мысленно путешествуем во времени и пытаемся анализировать те или иные события, огромную помощь нам оказывает память, позволяющая как бы вернуться в прошлое и вспомнить прошедшие события. Такую функцию History ввела в осциллографы
Рис. 23. Работа с режимом History
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
измерительная аппаратура
корпорация LeCroy. Она вводится нажатием одноименной кнопки на панели управления осциллографом (рис. 23). В режиме History осциллограф заполняет все свободные сегменты данными осциллограмм и с помощью проигрывателя в панели установок режима (рис. 23, внизу) разрешает вручную или автоматически просмотреть все записанные осциллограммы. В зависимости от установленной памяти и числа отсчетов в одной осциллограмме число сохраненных осциллограмм составляет от 1 до 256 000.
Заключение
207
шей, так и сложнейшей и специальной формы. Некоторые функции этих приборов — единственные в своем роде и не встречаются в приборах конкурирующих фирм, известных и популярных во всем мире. Это такие функции, как WaveScan, TriggerScan, History и другие. Они значительно повышают возможности при поиске не только особенностей и аномалий, но и редких и коротких сигналов. n
Литература 1. Корнеев С. Осциллографы высокого разрешения HDO4000 и HDO6000 // Компоненты и технологии. 2012. № 11. 2. Дьяконов В. Как потратить миллион рублей на покупку цифрового осциллографа и что из этого выйдет? // Компоненты и технологии. 2013. № 12. 3. Дьяконов В. П. Сверхскоростная электроника. Том 1 и 2. М.: ДМК-Пресс, 2013.
Реклама
Осциллографы высокой точности (четкости) HDO4000/6000 корпорации Teledyne LeCroy, Inc. безусловно являются элитными изделиями с богатыми, а иногда и уникальными возможностями для путешествия по просторам времени электрических сигналов — как простей-
технологии
КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 5 '2014
www.kite.ru
Реклама
Реклама
Компоненты и технологии
C o m p o n e n t s www.kit-e.ru
&
Te c h n o l o g i e s № 5 ’2014 (май)
ISSN 2079-6811
№ 5 ’2014
Тонкопленочные акустоэлектронные
компоненты СВЧ-диапазона
май Питание радиационно-стойких ПЛИС с применением DC/DC Microsemi
с ядром ARM Cortex-M0+ компании Atmel
Реклама
Реклама
Components & Technologies
SAMD — новая линейка МК
Сборка микросхем в России: реальность и перспективы