Kit#177(4) web all

Page 1

Компоненты и технологии

C o m p o n e n t s

&

Te c h n o l o g i e s

www.kit-e.ru

№ 4 ’2016 (апрель)

ISSN 2079-6811

№ 4 ’2016

ARM-процессоры «Миландр» под управлением ОС FreeRTOS

апрель

Решения ON Semiconductor

для построения беспроводных систем

Components & Technologies Реклама

Реклама

Одноплатный компьютер Raspberry Pi

Простая реализация USB Type-C


Реклама

Реклама


Реклама


4 (177) '2016

4 (177) '2016

Есть мнение

Главный редактор Павел Правосудов | pavel@fsmedia.ru Заместитель главного редактора Ольга Дорожкина (Зайцева) | olga_z@fsmedia.ru Выпускающий редактор Марина Короткова | marina.korotkova@fsmedia.ru Редакторы Елена Якименко | elena.yakimenko@fsmedia.ru Наталья Новикова | Natalia.Novikova@fsmedia.ru Редакционная коллегия Александр Фрунзе, Иосиф Каршенбойм Светлана Муромцева, Виктор Лиференко Дизайн и верстка Ольга Ворченко | olga@fsmedia.ru Отдел рекламы Ирина Миленина | irina@fsmedia.ru Отдел подписки Наталия Виноградова | podpiska@fsmedia.ru Москва 105120, Нижняя Сыромятническая ул., д. 10, стр. 4, офис 218 Тел./факс: (495) 987-3720 СанктПетербург 197101, Петроградская наб., д. 34, лит. Б Тел. (812) 4381538 Факс (812) 3460665 email: compitech@fsmedia.ru, web: www.kit-e.ru

Республика Беларусь «ПремьерЭлектрик» Минск, ул. Маяковского, 115, 7й этаж Тел./факс: (10*37517) 2973350, 2973362 email: murom@premierelectric.com

Отдел распространения СанктПетербург: Виктор Золотарев | victor.zolotarev@fsmedia.ru Подписные индексы Каталог агентства «Роспечать» Каталог «Почта России» полугодие год Агентство KSS (тел. в Киеве (044) 2706220, 2706222)

Содержание

80743 60194 60195 10358

Подписано в печать 11.03.16 Тираж 6000 экз. Свободная цена Журнал «Компоненты и технологии» зарегистрирован Министерством Российской Федерации по делам печати, телерадиовещания и средств массовых коммуникаций. Свидетельство о регистрации ПИ № ТУ 78-00653 от 22 июля 2010 года. Учредитель ООО «Издательство Файнстрит» Адрес редакции 121351, Москва, ул. Ивана Франко, д. 40, к. 1, стр. 2 Издатель ООО «Издательство Файнстрит» 197101, СПб, Петроградская наб., д. 34, лит. Б Отпечатано ООО «Акцент Групп» 194044, Россия, Санкт-Петербург, Б. Сампсониевский пр., д. 60 лит. И.

Сергей Чаплыгин Об импортозамещении и «импортозамещении». Часть 2. Административный ресурс

6

На правах рекламы Сборка диодная с общим катодом из двух кремниевых эпитаксиально-планарных диодов Шоттки

12

Компоненты Александр Майстренко Waka — новый игрок на российском рынке СВЧ-электроники

17

Андрей Потехин Комбинированный алгоритм обнаружения пламени для извещателей пламени, работающих по принципу спектральной селекции

26

Боб Стентон (Bob Stanton) Миниатюрные, микроминиатюрные и ультраминиатюрные: насколько маленькими могут быть соединители? Евгений Дугин Проникая сквозь лед: высоконадежные соединители Harwin серии Datamate выбраны для использования в престижном проекте нейтринного телескопа AMANDA II Наталья Сакова Герметичные соединители компании Techno

30

Николай Щирица Glenair: качество, надежность, инновации

42

Дмитрий Иоффе Стандарт. Разнообразие. Индивидуальность. Компоненты для связи между человеком и техникой от фирмы EAO AG

46

Валерий Зотов Проектирование узлов синхронизации цифровых устройств и встраиваемых микропроцессорных систем, реализуемых на базе ПЛИС фирмы Xilinx серий Artix‑7, Kintex‑7 и Virtex‑7. Часть 2

52

Ждон Килпатрик (John Kilpatrick), Робби Шерилл (Robbie Shergill), Маниш Синха (Manish Sinha) Перевод: Владимир Викулин Ретранслятор миллиметрового диапазона на 60 ГГц используется для повышения емкости сотовой связи 65 Алексей Бороздин, Юрий Сахно Применение ARM-процессоров компании «Миландр» под управлением ОС FreeRTOS в приборах учета электроэнергии

70

Виктор Чистяков Решения ON Semiconductor для построения беспроводных систем диапазона до 1 ГГц

74

34

Блоки питания

38

Николай Ревякин Блоки бесперебойного питания компании FARADAY Electronics

84

Редакция не несет ответственности за информацию, приведенную в рекламных материалах. Полное или частичное воспроизведение материалов допускается с разрешения ООО «Медиа КиТ». Журнал включен в Российский индекс научного цитирования (РИНЦ). На сайте Научной электронной библиотеки eLIBRARY.RU (www.elibrary.ru) доступны полные тексты статей. Статьи из номеров журнала текущего года предоставляются на платной основе.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


4 (177) '2016

4 (177) '2016

Editorinchief Pavel Pravosudov | pavel@fsmedia.ru Deputy of editorinchief Olga Dorozhkina (Zaytseva) | olga_z@fsmedia.ru Managing editor Marina Korotkova | marina.korotkova@fsmedia.ru Editors Elena Yakimenko | elena.yakimenko@fsmedia.ru Natalia Novikova | Natalia.Novikova@fsmedia.ru Editorial staff Alexander Frunze Svetlana Muromtseva Victor Liferenko Joseph Karshenbojm Design and layout Olga Vorchenko | olga@fsmedia.ru

Содержание Беспроводные технологии Олег Васильев, Павел Семенов Многоканальные распределенные системы радиомониторинга TORNADO-RxMTCA

Татьяна Колесникова Работа с виртуальными приборами LabView в программной среде Multisim 14.0. Часть 2

89

Встраиваемые системы Анна Сергеева, Сергей Кривандин Одноплатный компьютер Raspberry Pi: от учебного пособия до промышленного контроллера

Moscow 10, b. 4, of. 218, Nijnyaya Syromyatnicheskaya str., Moscow, 105120, Russia Tel. +7 (495) 987-3720

Новые технологии

St. Petersburg b. 34 “B”, Petrogradskaya Emb., St. Petersburg, 197101, Russia Tel. (812) 4381538 Fax (812) 3460665 email: compitech@fsmedia.ru web: www.kit-e.ru

Belarus Republic Minsk, Premier Electric Tel./fax: (10*37517) 2973350, 2973362 email: murom@premierelectric.com

Circulation department St. Petersburg: Victor Zolotarev | victor.zolotarev@fsmedia.ru Subscription index for Components & Technologies Rospetchat Agency catalogue subscription index 80743 KSS agency Tel. in Kiev: 0442706220, 2706222 subscription index 10358

Питер Кларк (Peter Clarke) Перевод: Владимир Рентюк Графен-на-стекле позволяет получить легированный транзистор

Вентиляторы Анастасия Шевырева Энергосберегающие вентиляторы компании Sunon

104

Проектирование Анвар Садат (Anwar Sadat) Перевод: Алексей Ревенко Простая и недорогая реализация USB Type-C Александр Мингазин Минимально-фазовые БИХ-фильтры с минимальной неравномерностью ХГВЗ Андрей Строгонов, Сергей Цыбин, Павел Городков Особенности использования двухпортовой памяти при проектировании последовательных КИХ-фильтров в САПР ПЛИС Quartus II

Марк Зауэрвальд (Mark Sauerwald) Перевод: Владимир Рентюк Десять советов для успешного проектирования автомобильной электроники с выполнением требований по ЭМС/ЭМП 141

96

Advertising department Irina Milenina | irina@fsmedia.ru Subscription department Natalia Vinogradova | podpiska@fsmedia.ru

132

109

113

124

145

Технологии Павел Агафонов, Николай Зотов Термоинтерфейсы KERATHERM

146

Сергей Веретенников Развитие производств токопроводящей полимерной упаковки для электронной отрасли в России

150

Руслан Хансуваров Обзор возможностей источников питания AMETEK по тестированию авиационного оборудования

154

Джим Арментраут (Jim Armentrout) Типовые решения Keysight

156

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


есть мнение

6

Об импортозамещении и «импортозамещении». Часть 2. Административный ресурс

Сергей Чаплыгин

А

человеческий ресурс?! Когда вокруг такие цены, то хороший российский техник меньше чем на 1000 евро в месяц ведь не пойдет! Между прочим, средняя зарплата в Чехии — около 800 евро, это СРЕДНЯЯ… А ведь чехи уже давно в ЕС… Либеральным экономистам России — «привет» от хитреца Вацлава Клауса! Чешская модель экономики с самого начала была настроена так, чтобы стоимость жизни не стремилась скорей-скорей вверх, к общеевропейским стандартам. Наоборот, она не должна была расти слишком быстро, так как уже 20 лет назад всем было понятно, что экономически выживут те, кто сможет состязаться с китайцами… И уже тогда Германия стала проводить политику «затягивания поясов» и снижения издержек, поскольку столкнулась с бегством реальных производств в страны с низкими издержками. Чешские экономисты поступили мудро: они учли опыт соседей, не стали быстро поднимать зарплаты и социальные пособия, но при этом они постепенно усиливали национальную валюту (это вообще официальная, уже многие годы долгосрочная цель чешского нацбанка) — такова одна из базовых основ успехов чешского экспорта в Германию и другие страны зоны евро. Притом что производительность труда в промышленности РФ вроде бы не блещет выдающимися результатами, было странно видеть стремительный рост российских зарплат, которые где-то в середине 2000‑х лихо обогнали чешские. Для того чтобы предприятие нормально развивалось, а не просто сводило концы с концами, оно в идеале должно иметь доход, из которого фомировало бы фонды своего развития, а для этого нужны относительно низкие собственные затраты и относительно высокие, но, так сказать, разумные рыночные цены на его продукцию. Затраты в России, однако, получаются совсем не низкие, а рыночные цены давит конкуренция, особенно из стран с низким уровнем издержек (тот же Казахстан, Иран, Турция и пока еще Китай).

То, что отношение к импортозамещению не должно быть компанейским, понятно всем. А каким оно должно быть? В нашем случае с силовыми конденсаторами — это «бег на длинную дистанцию», и всегда с «длинными» инвестиционными деньгами.

Проблемы… Но это если все делать всерьез… А нельзя ли как-то избежать всех этих проблем с фондоемким переоснащением, созданием новых производств… — оно и долго, и дорого, а так бы хотелось ответить всем чемберленам как-нибудь так… несимметрично… главное, быстро, недорого и адекватно. А как у них там, «за бугром», с импортозамещением? И как быстро мы перенимаем этот опыт?.. Небольшое отступление. Опять-таки в чешскую историю развития и падения промышленных предприятий последних десятилетий. Между прочим, немало чешских электротехнических фирм полегло в неравной борьбе с внешними конкурентами (в основном состоящими из западных аутсорсинговых производителей, то есть, по сути, дешевых азиатских производителей под известными и не очень западными марками). Например, от знаменитого чехословацкого объединения Tesla осталось практически одно название и два-три предприятия, успевших получить право на это имя. Собственно то, что оставалось от производителя светотехнических и моторных конденсаторов в промзоне Tesla (Ланшкроун), банкротилось раза три в течение 10 лет… Свято место пусто не бывает, а потому есть еще пара как бы «производителей» на чешском рынке светотехнических конденсаторов, среди которых DNA CZ s. r.o., где DNA — еще один бывший бренд давно закрывшегося испанского изготовителя конденсаторов. Или HYDRA a. s. (производство в Юго-Восточной Азии), как бы AEG (хотя реальный немецкий АЕG kondenzatoren уже в 2000‑х честно признавался, что делает только различные модули, но конденсаторы — уже нет, нерентабельно). Цены их предложений не чешские, и уж тем более не испанские и не немецкие. Китайские, конечно, а как иначе? Иначе это не продается… К светотехническим конденсаторам не предъявляют особых требований по качеству (если только они не должны

иметь сертификат UL), поэтому в данном сегменте особенно много производителей из разных стран по всему миру. А собственно, почему бы и не аутсорсинг? Так ведь делают многие: когда-то подобным образом поступал изготовитель силовых конденсаторов номер один в Италии — Ducatti (сегодня производство находится в Румынии, и где-то там осталась былая слава еще недавно мощного европейского предприятия), тем же путем шел EPCOS GmbH — совместное детище SIEMENS и Matsushita (логотип на изделиях SIEMENS, как бы Германия…). Сегодня его мощности находятся в Бразилии, Индии, Китае. Не хватает в этом перечне только России и ЮжноАфриканской Республики — и был бы БРИКС в полном составе, что вполне логично: наиболее интенсивно развивающиеся экономики перетягивают к себе и производства самых популярных брендов. Для этого, правда, нужны конкурентные преимущества: дешевая рабочая сила, доступность недорогих кредитов, терпимые налоги и безопасность ведения бизнеса… Здесь мы плавно перешли к «импортозамещению» — когда фирма-резидент является собственником производства, которое находится за пределами страны пребывания резидента. Общеизвестно, аутсорсинг — использование внешнего производственного ресурса, то есть, говоря прямо, использование отечественными компаниями продукции, изготовленной за пределами страны. Тогда можно зарегистрироваться, например, в России и изображать «производство». И на том основании, что, мол, я же российская компания, требовать оградить и поддержать отечественного «производителя»… Кто там будет вникать, где оно произведено и кого и от чего надо защищать?!. В определенном смысле подобная компания в сравнении с обычным импортером аналогичной продукции такого же азиатского или любого другого зарубежного производителя выглядит как бы отечественным предпри-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


есть мнение

ятием. И вроде как осуществляет-таки импортозамещение, особенно если сравнивать с обычным импортером по критериям: место регистрации главного офиса, «глубина переработки»… Может, он даже ввозит «полуфабрикат» и потом «производит» полностью «отечественное» изделие, вставляя предохранители в клеммник и наклеивая собственную этикетку. Это импортозамещение или всетаки эдакий римейк, «потемкинская деревня — 2»? Чтобы не впасть в соблазн красивых отчетов о росте импортозамещения, обратите внимание на то, что реально изготовлено у данного производителя, не побоюсь этого слова, в этой стране…

О практических мерах. Кто будет контролировать условия и процессы импортозамещения Ради возрождения отечественного производителя можно пойти на многое, примеров тому достаточно в истории промышленного развития той же Европы. Известно, как бисмарковские чиновники боролись за возрождение немецкой тяжелой промышленности и металлургии: полный запрет на импорт английской и американской продукции, пусть плохое, зато свое… И на первый взгляд кажется: именно это сейчас и нужно для поддержки российского производителя, тем более что продукция его вроде не так уж безнадежно плоха, особенно по сравнению с тем уровнем, который пришлось оберегать немецкой бюрократии в XIX веке. Но предлагаю вспомнить кое-что другое, чтобы постараться понять, насколько сейчас можно полагаться на, так сказать, административный ресурс. Обсудить этот момент побудил призыв вернуться к плановой системе СССР в очень интересной статье «Импортозамещение: экономика, политика, идеология» [1]. В ней всесторонне анализируется состояние дел с импортозамещением, и уважаемый автор статьи, в частности, говоря о недостатках в планировании, предлагает вернуться к советской плановой системе. Конечно, новое — это хорошо забытое старое, но вот в данном случае… Давайте кое-что вспомним про ту плановую систему. Совершим небольшой экскурс в историю становления системы управления народным хозяйством CCCР. В 1925 году в РСФСР, в практически только что созданном социалистическом плановом хозяйстве было всего четыре наркомата (говоря нынешним языком, министерства), включая, кажется, и Госплан, и планировали они всё не только в денежном, но и в натуральном выражении, то есть вплоть до уздечек, в штуках… А спустя 60 лет, в 1980‑е годы, количество министерств и ведомств приблизилось к 86. (Число единиц структуры системы управления выросло в 20 с лишним раз, а во сколько раз увеличилось количество клерков, не бу-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

дем даже уточнять, Михаил Горбачев, когда затеял борьбу с бюрократией, называл цифру примерно 20 млн управленцев. Кончилась эта борьба бесславно: первая же попытка сделать более компактной разросшуюся структуру управления сельским хозяйством и объединить все отраслевые министерства в Госагропром — структура, построенная на старых принципах и, самое главное, на той же производительности управленческого труда, — была неработоспособна и обречена.) И планировали эти 86 министерств и ведомств уже только в денежном выражении, тоннах, условных банках и т. д. Не оттого ли еще развалился СССР, что администрация была уже не в состоянии точно знать, чего и сколько производило народное хозяйство, а потому не могла ни контролировать, ни управлять этим стремительно развившимся хозяйством? А если допустить, что это тоже было одной из причин снижения эффективности экономической модели СССР, то в нашем случае вопрос о структуре управления имеет самое прямое отношение: очевидно, что надо защищать российского производителя как основу суверенитета страны, но следует и хорошенько просчитать, во что обойдется такая защита, будет ли она эффективна и, главное, кто и как станет осуществлять координацию, контроль и управление процессом? Как организовать адресную помощь и для каких именно узких мест? А не получится ли при этом в какихто случаях консервация отставания — кто это оценит? И в результате естественных попыток более точно и полно контролировать процесс не возродится ли та самая бюрократия бывшего СССР, только на новом витке развития? И, между прочим, как при этом избежать пресловутой коррупционной составляющей: вероятные гранты на внедрение новых технологий и создание собственных импортозамещающих производств будут, наверное, немалые… Приводя пример жесткого протекционизма в Германии XIX века и разрастания управленческого аппарата в XX веке в развитых странах, в том числе в СССР, хотел бы обратить внимание, что модель управления в СССР себя исчерпала и перестала эффективно работать уже в конце 1950‑х — начале 1960‑х, что и вызвало потребность подготовки хозяйственной реформы 1965 года. Впрочем, она уперлась в вопросы собственности и в результате выродилась в попытки введения хозрасчета на отдельных предприятиях, но это не решало проблему хозяйства страны в целом. Чтобы закончить с данным вопросом: через 20 лет в старые конспекты группы А. Н. Косыгина добавили два новых слова — «перестройка» и «ускорение» — и экономика развитого социализма была безальтернативно разрушена. Хотя на тот момент уже имелись разработки и практика экономистов и управленцев не только Шанхая, но и Праги.

7

Очевидно, что нынешнему госаппарату, уже не способному в рамках обычной иерархической пирамиды контролировать всю экономику, приходится в основном полагаться на крупных собственников — олигархов и следить только за порядком ведения ими хозяйственной деятельности. Выглядит разумно — это теперь их собственность, предполагается, что уж они-то смогут так или иначе замотивировать свои коллективы и настроят сложный механизм функционирования своего детища. Но… наверняка многие помнят старую байку про Генри Форда, для которого специалисты разработали программу борьбы с воровством деталей с заводов. Однако, узнав стоимость реализации этой программы, Форд воскликнул: «Ну нет, уж лучше пусть воруют!» Но ведь потери не исчезли, и, скорее всего, программа постепенно оптимизировалась, и проблема решалась, не о ней речь. Разговор о том, что и заинтересованный собственник не всегда способен разобраться в проблеме, не всегда обладает достоверной и исчерпывающей информацией и может правильно просчитать и оценить их экономику и риски. А тем более если суммы издержек не кажутся ему достойными внимания («пусть воруют!»). Не должно быть иллюзий, будто можно создать некий промышленный МЧС, который в срочном порядке решит проблемы импортозамещения, хотя планирование, разумеется, должно быть. Комплексное, основанное на серьезном анализе, а не на упрощенном поверхностном подходе «у нас импортозамещение — ставь наше…».

Как оно еще бывает… В чем оно, импортозамещение? Возвращаясь к чешским примерам: ZEZ SILKO продержалось как независмое предприятие с 1989 по 2004 год, и хотя продолжало оставаться успешным, было ясно, что в условиях открытого рынка рано или поздно придется отдаться «стратегическому партнеру»… На подъеме своего развития, в момент, когда на ZEZ SILKO нацелился VISHAY (США), чехи выбрали себе в качестве «стратегического партнера» испанский CIRCUTOR. Для испанцев это было выгодное приобретение, но одновременно вынужденный аутсорсинг и сокращение собственных рабочих мест. Чехи, кстати, взяли главный приз: получили доступ к испанским заказам и дополнительный бонус (вот здесь случилось импортозамещение!) — производство дросселей. И ZEZ SILKO начал выпускать изделия, которые раньше покупали у немецкого производителя. Все здесь логично с чешским импортозамещением: благодаря их экономической политике, которая в окружении высоких цен и зарплат в соседних странах удерживает низкие издержки, инвестиционные деньги Евросоюза как шарики ртути скатываются в чешскую www.kite.ru


есть мнение

«котлину». Только заводов легковых автомобилей здесь три на 10‑миллионную страну, а в радиусе 200 км от Брно их пять (с учетом словацких производителей). То есть чехи смогли удержать свои общественно необходимые издержки на достаточно низком уровне (например, обед в заводской столовой стоит около 40 чешских крон, или 1,5 евро, — так недорого и вкусно можно пообедать, пожалуй, только на госпредприятии Беларуси), и по времени достаточно долго, и смогли сохранить свою конкурентоспособность на европейском, теперь уже можно сказать, и на мировом рынке, и добавили в свой портфель заказы, которые раньше получали другие. Притом что курс национальной валюты (чешской кроны) постоянно укреплялся, соответственно, удешевлялся импорт материалов, хотя, конечно, и уменьшались доходы в национальной валюте от экспорта. Курс евро с 45 чешских крон/евро в момент введения евро в 2000 году стабильно снижался до 25,6 кроны/евро (на сегодня — 27,2 кроны/евро, понятно, что чешский ЦБ сейчас в период общего кризиса поддерживает своих экспортеров, слегка ослабляя крону), что компенсировалось ростом производительности экономических субъектов Чехии и ростом самих продаж на экспорт. Уже некоторое время наблюдается интересный этап — экспансия чешских конденсаторов (и не только) на китайский рынок: несмотря на распространенные мифы о качественном «заводском Китае», чешские кон-

денсаторщики уже минимум лет 15 как «зацепились» за Тайвань, а в последние годы расширяют свое присутствие и на материковом китайском рынке — похоже, они «пересидели» тревожный для всей Европы этап низких китайских зарплат, а по параметру цена/качество выглядят на китайском рынке весьма привлекательно и нашли своих покупателей. А что в ТС? Спрашиваю «заклятых друзей» — производителей конкурентной продукции в Казахстане: у вас же там Китай под боком, такой необъятный рынок, что-то удается туда протолкнуть? — «Да где там, у них своего такого добра навалом… Если бы мы были хотя бы дешевле» (а как они будут дешевле при такой таможне?!). Казахстанская продукция на своем рынке Таможенного Союза получается дорогая. Не за счет зарплат, в Казахстане они, разумеется, ниже, а за счет ж/д тарифов и прочих составляющих российского бизнеса. И это не первый случай, когда российский производитель оказывается дороже не только китайцев, но и канадцев, финнов, немцев… Издержки у россиян и других производителей в ТС часто выше, а производительность ниже. Отсюда и неконкурентоспособность многих отечественных предприятий, которые и держатся еще на плаву часто только из-за высокого таможенного барьера: цену товара, который пусть даже не облагается таможенной пошлиной, после прохождения таможни можно смело умножать на 1,3–1,5 (для самой приблизительной оценки). В этом коэффициенте — стоимость

доставки, обязательные таможенные платежи, услуги таможенных брокеров. Кстати, сравните: в России оформление таможенной очистки любого груза обойдется не меньше 2000 евро, на чешской таможне задекларировать груз — от 300 до 500 крон, то есть 10–20 евро (как говорится, почувствуйте разницу!)… О какой вообще конкурентоспособности российского промышленного производителя в других странах можно говорить, если в условиях международного разделения труда какие-то материалы, полуфабрикаты, компоненты он получает от зарубежного поставщика? На выходе тогда обязательно получится что-то дорогое, отягощенное «ввозным налогом», с пока еще непонятными показателями качества (см. его парк технологического оборудования), которое в лучшем случае будут соответствовать тем, что делают по ту сторону таможенного барьера, но дешевле… Не получится ли так, что с ростом реального импортозамещения увеличится доля продукции, произведенной (слава ЦБ России и его слабому рублю) на своей территории, но одновременно вобравшей в себя издержки неэффективных устаревших производств и протекционистской таможенной политики? Насколько это будет конкурентно? n

Литература 1. Рыбаков А. Импортозамещение: экономика, политика, идеология // Экономика и время. 2015. 18 мая. № 1 (822).

Реклама

8

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


10

новости

рынок

Серия технических семинаров «Новые компоненты Microchip для перспективных разработок» Компании «ЭЛТЕХ» и Microchip Technology приглашают принять участие в серии технических семинаров, посвященных новым компонентам и решениям компании. Постоянные инвестиции в разработку и производство новых микросхем, а также приобретение полупроводниковых компаний, имеющих уникальный опыт в своей области, позволяют Microchip Technology предложить своим клиентам наиболее современные и передовые компоненты для решения их задач. Технические специалисты Microchip подробно расскажут о: • новых 8/16/32‑разрядных микроконтроллерах для перспективных разработок; • конфигураторе исходного кода MCC и фреймворке Harmony для уменьшения времени разработки; • новых аналоговых компонентах; • новых микросхемах для реализации интерфейсов Ethernet и USB;

• новых компонентах и модулях для беспроводных коммуникаций (Bluetooth, LORA, Wi-Fi и др.). Семинары пройдут в Минске, Ростове-на-Дону, Новосибирске, Екатеринбурге, Москве и Санкт-Петербурге. В качестве докладчиков выступят Аттила Колингер, Михаил Мишан (инженеры по применению компании Microchip). Во время семинара участники смогут напрямую пообщаться со специалистами компании Microchip и задать интересующие вопросы. Участие в семинарах бесплатное, необходима регистрация. Количество мест ограничено. Пройти регистрацию можно на сайте www.eltechspb.by/event/ seriya_tehnicheskih_seminarov_novie_komponenti_microchip_dlya_ perspektivnih_razrabotok

Минск

Ростов‑на-Дону

Новосибирск

Екатеринбург

Москва

Санкт-Петербург

Время проведения

22 марта

24 марта

5 апреля

7 апреля

26 апреля

28 апреля

Место проведения

гостиница «Беларусь» (Сторожовская ул., 15), малый конференц-зал

конгрессный центр «ВертолЭкспо», конференц-зал «Вега» (пр. М. Нагибина, 30)

отель «Аванта» (ул. Гоголя, 189/1), конференц-зал

«Атриум Палас отель» (ул. Куйбышева, 44)

гостиница «Измайлово‑Альфа» (Измайловское шоссе, 71, корп. А)

гостиница «Россия» (пл. Чернышевского, 11)

«АйТи-Элком» — дистрибьютор ODU Подробную информацию о данной продукции можно посмотреть на сайте компании www.it-elcom.ru и на сайте производителя www.odu.de/ru.

Реклама

Компания «АйТи-Элком» заключила дистрибьюторский договор с компанией ODU, производящей высоконадежные разъемы и соединительные системы.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


на правах рекламы

12

Сборка диодная с общим катодом из двух кремниевых эпитаксиально-планарных диодов Шоттки Назначение Диоды Шоттки IDSJP1545 имеют оптимальное низкое прямое напряжение и низкий ток утечки. Предназначены для применения в импульсных источниках питания, высокочастотных инверторах и преобразователях постоянного тока, а также для защиты от переполюсовки питания.

Таблица 1. Предельно допустимые значения параметров Наименование параметра (режим измерения)

Обозначение

Значение

Единица измерения

Повторяющееся импульсное обратное напряжение диода (синусоидальная полуволна, tи ≤ 10 мс, f ≥ 50 Гц)

Uобр.и.п. mах

45

В

Пробивное напряжение диода

Uпроб. mах

45

В

Максимально допустимый средний прямой ток одного диода

Iпр.ср. mах

15

А

Максимальный импульсный неповторяющийся прямой ток одного диода (синусоидальная полуволна, tи ≤ 10 мс)

Iи. пр

150

А

Максимальная температура перехода

Тпер. mах

150

°С

Повторяющийся импульсный обратный ток (tи = 2 мкс, частота лимитируется условием непревышения Тпер. mах)

Iобр. и.п

5

А

Таблица 2. Электрические параметры (при Tокр = +25 °C, если не указано другое) Наименование параметра Постоянное прямое напряжение (для одного диода сборки)*

Рис. 1. Расположение выводов сборки диодной IDSJP1545

Особенности: • Низкое прямое напряжение. • Высокая рабочая частота. • Рабочая температура перехода до +150 °C. • Охранное кольцо для повышения пробивного напряжения и долгосрочной надежности. • Оригинальная конструкция на основе интегрированной структуры множества субмикронных диодов Шоттки и p‑n‑переходов. • Разработаны и сертифицированы на промышленном уровне. • Высокая устойчивость к лавинному пробою. • 100%-ный контроль на пластинах устойчивости к лавинному пробою.

Обозначение UПР

Постоянное прямое напряжение (для одного диода сборки)*

UПР

Постоянный обратный ток (для одного диода сборки)

IОБР

Общая емкость диода (для одного диода сборки)

Не менее

Типовое

Не более

0,55

0,52

0,76

0,76

0,12

80

0,12

800

1000

Ед. изм.

Режим измерения IПР = 15 A, Tпер = +25 °C

В

IПР = 15 A, Tпер = +125 °C

В

IПР = 30 A, Tпер = +25 °C

мА

UОБР = 45 В, Tпер = +125 °C

IПР = 15 A, Tпер = –60 °C

UОБР = 45 В, Tпер = +25 °C

UОБР = 45 В, Tпер = –60 °C пФ

UОБР = 5 В, f = 1 МГц Tпер = +25 °C

Примечание. * Импульсный режим, tи ≤ 300 мкс, Q ≥ 50.

Корпус КТ‑28-2 Кристаллы диодов Шоттки IDSJZ1545 с контактными площадками без кристаллодержателя, без выводов, поставляемые на об-

Рис. 2. Типовые зависимости постоянного обратного тока диода от постоянного обратного напряжения (для одного диода сборки)

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


на правах рекламы

13

Размеры, мм

Обозначение A B b c D E e F L L1 P Q q q1

Рис. 3. Типовые зависимости постоянного прямого тока от постоянного прямого напряжения (для одного диода сборки)

новости

max 4,8 6,8 0,85 2,5 10,7 15,9 2,59 1,3 13,6 3,79 3,73 0,7 16,465 3

Рис. 5. Габаритные размеры корпуса КТ‑28-2

Рис. 4. Типовая зависимость емкости диода от постоянного обратного напряжения (для одного диода сборки)

щей пластине или разделенные и упакованные в специальную тару. Физические характеристики: • Диаметр пластины: (100 ±0,5) мм. • Толщина пластины: (280 ±20) мкм. • Размер кристалла: 2,672,67 мм. • Металлизация: – планарная сторона (анод) — Al или Al-Ti-Ni-Ag; – обратная сторона (катод) — Ti-Ni-Ag.

min 4,32 5,9 0,6 2,3 10,37 15,2 2,49 1,1 13,4 3,06 3,6 0,56 15,785 2,6

• Ширина скрайберной дорожки: 80 мкм. • Размер контактной площадки анода: 2,512,51 мм. • Толщина металлизации анода: – Al: (4 ±0,4) мкм; – Al-Ti-Ni-Ag: (1,4 ±0,2)-(0,1 ±0,02)-(0,5 ±0,1)-(0,6 ±0,1) мкм. • Толщина металлизации катода: – Ti-Ni-Ag: (0,1 ±0,02)-(0,5 ±0,1)-(0,6 ±0,1) мкм. n

Рис. 6. Физические характеристики

Центр изделий специального назначения Контактное лицо: Александр Иванович Титов тел.: (375-17) 298-97-43, т/факс: (+375-17) 398-72-03, E‑mail: ATitov@integral.by ул. И. П. Казинца, д. 121а, ком. 327, г. Минск, 220108, Республика Беларусь www.integral.by

драйверы индикаторов

Высоковольтные драйверы вакуумных индикаторов от АО «Таврида Микроэлектроника» Компания АО «Таврида Микроэлектроника» начала работу по внедрению СвК высоковольтного драйвера ЭТПИ021ТМ. Системы в корпусе (СвК) ЭТПИ021ТМ\ ЭТПИ022ТМ — это соответственно 40‑ и 64‑битные высоковольтные драйверы вакуумных индикаторов. СвК состоят из сдвигового регистра с последовательной загрузкой данных, регистра-защелки и высоковольтного КМОП-драйвера. КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Логическая таблица модуля имеет следующий вид: • Частота тактирования цифровой подсистемы: 20 МГц. • Частота обновления: 5 МГц. • Коммутируемый ток: до 50 мА/канал. • Коммутируемое напряжение: 10–150 В. • Напряжение питания: +5 В. • Встроенные пассивные компоненты.

• Металлокерамический корпус DSIP‑01.80/100. • Диапазон рабочих температур: –60…+12 5 °C www.tavrida-m.ru

www.kite.ru


14

новости

события

II практическая конференция по робототехнике «РобоСектор — 2016» (Россия), Beckhoff (Россия), ATEnergy (Россия), Томский центр робототехники, «Центр развития робототехники МЧС РФ», Университет ИТМО и многие другие. В рамках официальной деловой программы конференции будут представлены новые решения и технологии, организована экспозиция образцов, состоятся презентации успешных проектов и примеров применения продукции лидерами отрасли как российского, так и зарубежного рынка. Первоочередной целью практической конференции «РобоСектор» является построение профессионального трехстороннего диалога между разработчиками, решающими сложные, ответственные задачи, вузами, целью которых является полноценная подготовка инженерных кадров, и компаниями — производителями компонентов, чьи решения жизненно необходимы для робототехнических систем. Данное мероприятие ориентировано на специалистов в области роботостроения, производителей мехатронных систем и робототехнических комплексов, а также вузов с профильными факультетами и кафедрами робототехники, мехатроники, кибернетики, систем управления. Первая конференция, прошедшая в 2015 году, показала большой интерес к заявленной тематике профессиональных участников рынка. В ходе обсуждений наметились новые интересные темы и направления для будущих конференций, отчетливо прозвучала мысль, что одним из актуальных направлений формирования потребностей в робототехнических комплексах является решение задач, связанных с обеспечением безопасности, выполнением спасательных работ, устранением последствий стихийных бедствий и т. п. По вопросам участия в мероприятии обращайтесь в отдел маркетинга компании «АВИТОН»: (812) 702-10-01. www.aviton.spb.ru

Реклама

Компания «АВИТОН» приглашает принять участие во II практической конференции «РобоСектор», которая состоится 21 апреля 2016 года в Москве. Темой конференции «РобоСектор — 2016» стало перспективное и активно развивающееся во всем мире направление робототехники под названием soft robotics (и тесно связанный с ним класс систем collaborative robots). Направление soft robotics очень интересное и емкое — с точки зрения видов систем, применяемых технологий, решаемых задач и перспектив применения. Можно абсолютно уверенно сказать, что это направление, определяющее тенденции и перспективы отрасли. Основной акцент в 2016 году сделан на обсуждении узловых конструкторских решений, освещении основных мировых перспектив и направлений развития робототехники. • Какие задачи могут быть решены при помощи роботов по технологии soft robotics? • Какие технологии являются критически важными для развития направления soft robotics, в том числе в России? • Как изменится наша жизнь, если роботы будут работать в человеческой среде при тесном контакте с людьми? Ответы на эти и другие вопросы организаторы хотят сформулировать вместе со всеми участниками конференции в ходе выступлений и дискуссии. Организатор конференции стремится к тому, чтобы «РобоСектор» стал площадкой для обмена опытом и технологиями. С этой целью в рамках деловой программы мероприятия 2016 года будет проведена панельная дискуссия под председательством экспертного совета, в который войдут представители ведущих компаний отрасли. Среди уже подтвердивших свое участие в конференции представители компаний maxon motor (Швейцария) и Harmonic Drive (Германия), НПО «Андроидная техника» (Россия), «Робоцентр Сколково»

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


новости

Реклама

Реклама

16

ВЧ/СВЧ-элементы

Широкополосный аттенюатор с цифровым управлением от United Monolithic Semiconductors • • • • • • • • •

диапазон ослабления: 15 дБ; шаг ослабления: 1 дБ; вносимые потери: 4 дБ (20 ГГц), 8 дБ (31 ГГц); обратные потери по входу/выходу: 15/12 дБ; среднеквадратическое значение ошибки ослабления: 0,5 дБ; входная мощность P1dB: 20 дБм; максимальная входная мощность: 27 дБм; отклонение фазы: –5/+20°; время переключения: 10 нс;

• напряжение питания: +5 и –5 В; • диапазон рабочих температур: –40…85 °C; • тип и размеры корпуса: QFN, 5×4×0,9 мм. Новый аттенюатор разработан для широкого спектра приложений, от коммерческих до военных систем связи. www.radiocomp.ru

Реклама

Компания United Monolithic Semiconductors (UMS) выпустила новый СВЧ-аттенюатор — CHT3029‑QEG, имеющий четырехразрядный управляющий интерфейс. Устройство отличается очень широкой полосой рабочих частот. Прибор выполнен в виде монолитной СВЧ-микросхемы по технологии на основе арсенида галлия (GaAs) в безвыводном корпусе для поверхностного монтажа. Основные характеристики: • диапазон рабочих частот: DC–31 ГГц;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


ВЧ/СВЧ-элементы

компоненты

17

Waka — новый игрок на российском рынке СВЧ-электроники

Александр Майстренко info@radiocomp

Я

понская фирма Waka (www.waka.co.jp/ index_e.php) была основана в 1954 году как производитель электронных компонентов. Ее головной офис находится в Токио, два завода расположены в Нагано, есть заводы в Китае и Гонконге. Сегодня фирма Waka активно работает на рынке СВЧ-электроники, считая его очень перспективным благодаря постоянно растущему объему информационного обмена в современном обществе. Более чем за 50‑летнее пребывание на рынке она завоевала доверие клиентов высоким качеством и надежностью изделий. В 1998 году компания получила сертификат системы менеджмента качества ISO 9001, а в 2001‑м — сертификат системы экологического менеджмента ISO 14001. Фирма производит широкий ассортимент СВЧ-компонентов и модулей, в том числе соединители, адаптеры, кабельные сборки, фильтры, фазовращатели, аттенюаторы, усилители, управляемые генераторы, умножители частоты и другие изделия. Стремясь к дальнейшему развитию, Waka постоянно ищет новые рынки сбыта своей продукции, теперь она доступна и в России.

Статья знакомит читателей с продукцией нового игрока отечественного рынка СВЧ-электроники — японской фирмы Waka, готовой поставлять в Россию широкий ассортимент своих изделий. В материале сделан обзор продукции Waka, предназначенной как для радиоэлектронных СВЧсистем, так и для электронного тракта высокоскоростных ВОЛС.

Таблица 1. Параметры коаксиальных кабелей Waka Полужесткие кабели

Полугибкие кабели

С низким затуханием

Стандартные

SX-36 SX-22 SX-12 SX-09 SP-36 SP-22 SXL-36 SXL-22 SXL-12 Диаметр наружного проводника, мм Диаметр внутреннего проводника, мм Допустимое напряжение, В эфф. Затухание, дБ/м (на частоте, ГГц) Радиус изгиба, не менее, мм

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

SPL-36

3D

2,5D

1,5D

3,581

2,197

1,194

0,864

3,571

2,202

3,5

2,1

1,15

3,3

3,7

3,3

2,1

0,919

0,511

0,287

0,203

0,93

0,51

0,93

0,511

0,287

0,93

7×0,32

0,8

7×0,18

5000

2500

2000

3000

2000

2,45 (30)

5,85 (60)

9,4 (60)

12,8 (60)

2,09 (30)

4,48 (60)

2,44 (18)

3,7 (18)

6 (18)

1,9 (18)

1,4 (4)

0,235 (0,2)

6,5

3

3,2

3,2

6,5

3

8

5

3

8

3000

медью и затем серебром стальную проволоку. В качестве изолятора используется фторопласт. Группа полужестких кабелей содержит четыре стандартных типа (маркировка SX) и два типа с низким затуханием (SP). Внешняя оболочка полугибких кабелей может изготавливаться из ПВХ серого цвета или этилентетрафторэтилена голубого цвета. Внешний проводник представляет собой оплетку из луженой медной проволоки, а внутренний проводник и изолятор такие же, как у полужестких кабелей (рис. 1б).

Компоненты для СВЧ-систем Обзор продукции начнем с СВЧ-кабелей. Фирма Waka выпускает три вида кабелей: полужесткие, полугибкие и гибкие. В группах полужестких и полугибких кабелей предусмотрено деление на стандартные и с пониженным затуханием, кроме того, внутри каждой группы кабели подразделяются по диаметру. Волновое сопротивление всех типов кабелей составляет 50 Ом. Основные параметры коаксиальных кабелей Waka представлены в таблице 1. Полужесткие кабели (рис. 1а) не имеют наружной защитной оболочки, внешний проводник представляет собой трубку из бескислородной меди, а внутренний — покрытую

Гибкие кабели

С низким затуханием

Стандартные

а

б

Рис. 1. Конструкция коаксиальных кабелей Waka: а) полужесткого; б) полугибкого

1000 0,085 (0,1)

В этой группе три стандартных типа кабелей (SXL) и один с низким затуханием (SPL). Гибкие кабели представлены тремя типами, различающимися по диаметру и граничной частоте. СВЧ-соединители Waka отличаются высоким качеством и делятся на две группы: миллиметрового диапазона и общего назначения. К первой группе относятся соединители типов 1mm (частота до 110 ГГц), 1.85mm (65 ГГц), 2.92mm (45 ГГц), SMPM (65 ГГц) и SMP (40 ГГц) (рис. 2). Корпуса соединителей выполнены из нержавеющей стали и покрыты золотом или пассивированы. Центральные контакты изготовлены из бериллиевой бронзы и покрыты золотом. Соединители этой группы предназначены для монтажа на полужесткие кабели SX‑12 и SX‑22. Вторая группа более многочисленна, в нее входят соединители S‑SMA (26,5 ГГц), SMA, WPL, BMA (у всех 18 ГГц), PLB (12,4 ГГц), MCX, MMCX (у всех 6 ГГц), N, SMB, TNC, BNC (у всех 4 ГГц), W3B (3 ГГц) и F (1 ГГц) (рис. 3). Корпуса соединителей выполнены из нержавеющей стали или латуни, поверхность покрывается золотом, никелем или пассивируется. Центральные контакты изготовлены из бериллиевой бронзы и покрыты золотом или никелем. www.kite.ru


18

компоненты

ВЧ/СВЧ-элементы

Рис. 2. Соединители Waka миллиметрового диапазона волн

В документации фирмы приняты следующие сокращения, обозначающие используемые в соединителях материалы и покрытия: • SUS — нержавеющая сталь; • BS — латунь; • BeCu — бериллиевая медь (бериллиевая бронза); • ZDC — цинковый сплав; • Au — золото; • Ni — никель; • Pv — пассивация; • PTFE — фторопласт; • ETFE — этилентетрафторэтилен, ЭТФЭ; • PVC — поливинилхлорид, ПВХ. Одно из бизнес-направлений фирмы Waka — производство кабельных сборок из собственных кабелей и соединителей. Кабельные сборки изготавливаются на современном оборудовании с соблюдением всех технологических норм и процедур, что обеспечивает их высокое качество и гарантирует соответствие заявленным техническим параметрам. Для кабельной сборки могут использоваться практически любые сочетания типов кабелей и соединителей, но при заказе сборки желательно придерживаться рекомендованных фирмой сочетаний, которые приведены в таблице 2. Сборки из полужесткого кабеля выпускаются на заводе Waka и гнутся с помощью специального оборудования, поэтому необходимо сделать точное описание геометрии сборки в трех измерениях с указанием радиусов изгибов и расстояний между ними. Выполнить эту работу при необходимости помогут специалисты российской фирмыдистрибьютора. Вместе с тем Waka предлагает альтернативное решение для сборок из полужесткого кабеля, которое позволяет обойтись без заводской гибки и сохранить высокие электрические параметры, — изгибаемые вручную сборки. Для этих сборок применяются кабели SP‑30M, SP‑20M и SP‑20R, чье усилие на изгиб вдвое ниже, чем у традиционных полужестких кабелей, а внешнее отличие заключается в защитном полиолефиновом покрытии голубого цвета. На основе этих кабелей фирма выпускает кабельные сборки длиной 1 м с импедансом 50 Ом и рабочей частотой до 65 ГГц (табл. 3). При стыковке различных СВЧ-компонентов часто возникает потребность в коаксиальных и волноводных адаптерах. Фирма Waka производит около 80 моделей коакси-

Рис. 3. Соединители Waka сантиметрового диапазона волн

Таблица 2. Рекомендуемое сочетание кабелей и разъемов Waka SX-36 1mm 1.85mm 2.92mm SMPM SMP S-SMA SMA WPL BMA PLB MCX MMCX N TNC BNC SMB W3B F

SX-22 + + + + + + +

+ + + +

SX-12

SX-9

SXL-36 SXL-22 SXL-12

+ + + + +

+ + + +

+ +

+

+

+

+ + + + + +

+ +

+

+ + +

SP-36

SP-22

SPL-36

3D

2,5D

1,5D

RG

+

+

+

+

+ + + +

+ + +

+

+ + + + + + +

+

+ +

+

+

+

+

+

+ +

+

+

+ + +

+ +

3C

2.5C

+ + + 1.5C 1.5C

+ + + + + + +

Таблица 3. Номенклатура готовых сборок на основе полужестких кабелей для ручной гибки Кабельная сборка

M3B AM AM # 1000

Кабель

SP-30M

Потери, дБ (на частоте, ГГц)

1,8 (18)

КСВН (на частоте, ГГц)

M2B AM AM # 1000

Соединитель

SMA 10

Наружный диаметр, мм

3,6

M2B VM VM # 1000

R2B AM AM # 1000

R2B AM AL # 1000

3,9 (40)

4,9 (65)

3 (18)

3 (18)

1,35 (40)

1,5 (65)

2.92mm

1.85mm

SP-20M 3 (18)

1,2 (18)

Радиус изгиба, мм

M2B KM KM # 1000

5

R2B KM KM # 1000

R2B VM VM # 1000

SP-20R 1,2 (18) SMA

3,9 (40)

4,9 (65)

1,35 (40)

1,35 (65)

2.92mm

1.85mm

SMA

40 2,7

6,3

Таблица 4. Модели адаптеров Waka миллиметрового диапазона волн 1.85mm

2.92mm

SMPM

Соединители

1mm 1.85mm

Вилка

Розетка

Вилка

02R0234-00

02R0233-00

Розетка

02R0236-00

02R0235-00

Вилка

02R0093-10

Розетка

Вилка

Розетка

Вилка

Розетка

02R0094-10

02R0167-10

02R0165-10

02R0217-00

02R0219-00

02R0095-10 02R0142-10

02R0168-10

02R0071-10 02R0166-10

02R0218-00

02R0220-00

02R0090-10

02R0092-10

Вилка 2.92mm SMPM

Розетка Розетка

альных адаптеров и подразделяет их на три группы: • адаптеры миллиметрового диапазона волн; • адаптеры сантиметрового диапазона волн; • адаптеры так называемого простого типа для соединителей TNC, BNC, F и RCA. Адаптеры миллиметрового диапазона волн выпускаются для соединителей 1mm, 1.85mm, 2.92mm и SMPM. В зависимости от типа соединителей рабочая частота может составлять до 65 ГГц. Корпуса адаптеров выполнены из нержавеющей стали, центральные контакты из бериллиевой бронзы, в ка-

02R0091-10 02R0070-10 02R0216-00

честве покрытия используется золото. Вся номенклатура этой группы адаптеров представлена в таблице 4. Адаптеры сантиметрового диапазона волн предназначены для соединителей SMP, SMA, WPL, N, TNC, BNC и SMB. Значения рабочих частот адаптеров зависят от типов соединителей и не превышают 18 ГГц. Корпуса адаптеров выполнены из нержавеющей стали или латуни, в качестве покрытия используется золото, никель и пассивация. Центральные контакты изготавливаются из бериллиевой бронзы и покрываются золотом. Определить

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


компоненты

ВЧ/СВЧ-элементы

19

Таблица 5. Модели адаптеров Waka сантиметрового диапазона волн SMA

WPL

N

TNC

BNC

SMB

Соединители SMP SSMA

Вилка

Розетка

Вилка

Розетка

Вилка

Розетка

02K2053-10 02K2054-10

02R0242-00 02K1890-00 02K2057-10 02K2115-00 02K2117-00 02K0907-00 02K2055-10 02K2056-00 02R0009-00

02K2516-00

02K2501-00

02K2058-00

02K2059-00 02R0113-00

02K2061-00

02K2062-00

02K2069-00 02K2070-00 02K1430-10 02R0246-00

Розетка Вилка Вилка

SMA Розетка WPL

Вилка Розетка

702K2521-00

02K2517-00 02K2518-00

Вилка

Розетка

Вилка

Розетка

02K2224-00

02K2225-00

02K2126-00

02K2063-00

02R0007-00

02K2065-00

02K2125-00

02K2071-00

02K2226-00

02K2072-00

02K2073-00

02K2074-00

02K2227-00

02K2228-00

02K2229-00

Вилка

Розетка

02R0049-00

02R0048-00

02K2735-00 02K2066-00

N Розетка TNC BNC

Вилка

Розетка Розетка

02K2076-00 1821K079-00

Модель

Рис. 5. Коаксиальные адаптеры Waka

Рис. 6. Коаксиальный фиксированный аттенюатор Waka а

б Рис. 4. Коаксиально-волноводные адаптеры Waka: а) с угловым соединителем; б) с прямым соединителем

тип нужного адаптера можно с помощью таблицы 5. Волноводные адаптеры фирмы Waka представлены семью моделями, работающими в суммарном диапазоне частот 50–110 ГГц. Адаптеры предназначены для перехода с волноводов WR‑15 (диапазон частот 50–65 ГГц), WR‑12 (60–92 ГГц) и WR‑10 (74–110 ГГц) на соединители типов 1.85mm и 1mm. Напомню, что цифра в названии волновода означает его внутреннюю ширину в сотых

долях дюйма. Конструктивно только одна модель адаптера (01X0589-00) выполнена с угловым соединителем (рис. 4а), все остальные — с прямым (рис. 4б). Такие основные технические параметры адаптеров, как КСВН и вносимые потери, находятся на уровне лучших мировых образцов (табл. 6). В линейке коаксиальных аттенюаторов Waka присутствует десять моделей (рис. 5). Все они оснащены разъемами SMA, работают в диапазоне частот 0–3 ГГц, имеют КСВН 1,15 и мощность 1 Вт (рис. 6). Коэффициенты ослабления составляют 1, 2, 3, 4, 5, 6, 7, 10, 15 и 20 дБ. Все согласованные коаксиальные нагрузки Waka рассчитаны на мощность 1 Вт. Модели с разъемами SMA и граничной частотой 6 ГГц имеют КСВН 1,15, а у моделей с граничной частотой 18 ГГц КСВН составляет 1,22.

Диапазон Волночастот, ГГц вод

01X0589-00

50–65

01X0561-00 01X0571-00 01X0562-00 01X0572-00 01X0563-00 01X0573-00

50–65 50–65 60–92 60–92 74–110 74–110

Соединитель

1.85mm, WR-15 розетка, угловой WR-15 1.85mm, розетка WR-15 1.85mm, вилка WR-12 1mm, розетка WR-12 1mm, вилка WR-10 1mm, розетка WR-10 1mm, вилка

КСВН

Таблица 6. Основные параметры коаксиально-волноводных адаптеров Waka Потери, дБ

1,5

0,6

1,4 1,4 1,5 1,5 1,5 1,5

0,3 0,4 0,4 0,5 0,5 0,5

Нагрузки с разъемами N имеют граничную частоту 10 ГГц и КСВН 1,5. В числе выпускаемых фирмой Waka пассивных компонентов фигурируют фильтры и фазовращатели. Специалисты фирмы готовы рассчитать и изготовить по исходным данным заказчика полосовые фильтры (ПФ) и фильтры низкой частоты (ФНЧ). Центральная частота ПФ или частота среза ФНЧ должна находиться в диапазоне 1–80 ГГц. В этом диапазоне гарантируется подавление внеполосных сигналов не менее 30 дБ, можно задать коэффициент подавления второй и третьей гармоник сигнала и групповое время задержки. ПФ изготавливаются в миниатюрных прямоугольных (рис. 7), а ФНЧ в цилиндрических корпусах с разъемами SMA, 2.92mm, 1.85mm и 1mm. Параметры некоторых моделей фильтров приведены в таблице 7. Фазовращатели представляют собой регулируемые линии задержки (ЛЗ). При изменении длины ЛЗ меняется задержка сигнала и, соответственно, его фаза. Простейшие фа-

Таблица 7. Параметры ПФ и ФНЧ фирмы Waka Модель

SBF10

SBF16

SBF26

SBF54

SBF75

TLF1-11

TLF3-11

TLF16-13

TLF60-13

TLF80-13

Центральная частота (частота среза), ГГц Полоса пропускания, % Потери, не более, дБ Соединители Размеры (длина), мм

10,3

16

25,7

53,5

75

(1)

(3)

(16)

(60)

(80)

(195)

1 SMA (85)

1 28 8 0,1 10 2 1,2 1,5 7 3 SMA SMA 2.92mm 1.85mm 1mm 13×16×53 10×13×56 13×16×28 20×20×28 10×18×17

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

1,5 1.85mm (40)

(30)

(28)

Рис. 7. Полосовой фильтр Waka

www.kite.ru


компоненты

20

ВЧ/СВЧ-элементы

в

а

а

б

Рис. 10. Фиксированные диапазонные усилители: а) FA20C; б) FA40A г

б

Рис. 8. Фазосдвигающие приборы Waka: а) фазовый триммер; б) фазовый корректор; в) прецизионный фазовращатель; г) фазосдвигающая система

зовращатели, так называемые фазовые триммеры (рис. 8а), способны менять время задержки на 9 пс. Эти триммеры оснащены соединителями SMA и работают на частотах до 18 ГГц. Фазовые корректоры (рис. 8б) имеют более широкий диапазон изменения времени задержки, составляющий 60 пс. С соединителями SMA они работают на частотах до 18 ГГц, с соединителями 2.92mm — до 40 ГГц, а с соединителями 1.85mm — до 60 ГГц. Прецизионные фазовращатели (рис. 8в) снабжены круговой верньерной шкалой. Наиболее сложны фазосдвигающие системы (рис. 8г), представляющие собой управляемые компьютером прецизионные блоки, в которых перестройка ЛЗ выполняется шаговым двигателем. Прецизионные фазовращатели как с верньерным, так и с компьютерным управлением имеют диапазон перестройки 140 пс, а частотный диапазон составляет 40 ГГц с соединителями 2.92mm или 60 ГГц с соединителями 1.85mm.

Модули Waka для построения высокоскоростных ВОЛС СВЧ-модули фирмы Waka ориентированы на работу в электронном тракте высокоскоростных волоконно-оптических линий связи (ВОЛС) 100GE и 100G DP-QPSK. В номенклатуре изделий присутствуют диапазонные усилители как с регулируемым, так и с фиксированным усилением, широкополосные усилители, управляемые генераторы, ответвители сигнала, умножители и делители частоты, регулируемые линии задержки, управляемые и фиксированные аттенюаторы и другие модули. Все они имеют соединители типа SMPM. В номенклатуре диапазонных усилителей пять моделей: две с регулируемым усилением и три с фиксированным. Управляемые усилители (рис. 9) предназначены для регулирования уровня синхросигнала (модель VA30A) или его субгармоники (модель VA15A) для ВОЛС со скоростью 20–32 Гбит/с. В соответствии с назначением различаются и параметры усилителей: VA15A работает в диапазоне частот 6–18 ГГц, а VA30A — в диапазоне 18–32 ГГц. Коэффициент передачи усилителей можно регулировать как вручную с помощью подстроечного резистора, так и изменением внешнего управляющего напряжения. У VA30A

а

б

Рис. 9. Регулируемые диапазонные усилители: а) VA15A; б) VA30A

диапазон регулировки усиления составляет –18…+13 дБ на частоте 25 ГГц, компрессия в 1 дБ начинается при уровне входного сигнала 3 дБм. У VA15A эти параметры соответственно –12…+16 дБ на частоте 10 ГГц и 4 дБм. Напряжение питания усилителей составляет 5 В, VA15A потребляет 90 мА, а VA30A — 280 мА. Оба усилителя имеют размеры 232811,1 мм. Аналогичное назначение имеют и фиксированные усилители (рис. 10). Для работы с субгармоникой синхросигнала предназначен FA20C, его диапазон частот 8–20 ГГц, коэффициент усиления 17 дБ на 20 ГГц, компрессия 1 дБ начинается при выходной мощности 19 дБм. Для усиления синхросигнала выпускаются усилители FA30A (18–28 ГГц) и FA40A (20–40 ГГц). Первый имеет усиление 10 дБ на частоте 28 ГГц и выходную мощность 15 дБм при компрессии 1 дБ, параметры второго соответственно 15 дБ на 28 ГГц и 21 дБм. Все три усилителя имеют размер 182811,1 мм. Для информационного цифрового тракта разработаны широкополосный усилитель BA28 и драйвер оптического модулятора DMD32. Модуль BA28 (рис. 11) представляет собой одноканальный усилитель цифрового сигнала с дифференциальным входом, регулировками усиления и уровня пересечения по глазковой диаграмме сигнала. Этот усилитель может использоваться и как драйвер электро-абсорбционного модулятора лазерного луча. Нижняя граничная частота усилителя составляет 50 кГц, верхняя 25 ГГц, выходная мощность регулируется в диапазоне 4–12 дБм, время нарастания и спада сигнала 12,5 пс, дополнительный джиттер 900 фс. Усилитель питается напряжением 4,3 В и потребляет мощность 0,8 Вт, его размеры 21,33111,6 мм. Модуль DMD32 представляет собой сдвоенный драйвер оптического модулятора со скоростью 32 Гбит/с, с дифференциальным входом, с регулировками выходного напряжения в диапазоне 5–8 В и уровня пересечения по глазковой диаграмме сигнала. Нижняя и верхняя граничные частоты 85 кГц и 25 ГГц соответственно, коэффициент усиления составляет 31 дБ на частоте 25 ГГц, время нарастания и спада выходного сигнала 12 пс, дополнительный джиттер 800 фс. Усилитель питается напряжениями +6,5 и –5,2 В, потребляемая мощность 2,6 Вт. Размеры модуля 34,54211,6 мм. Фирма Waka выпускает две модели управляемых генераторов (рис. 12). Для генерации в диапазоне частот 10–20 ГГц предназначен модуль SG20A. Его выходная мощность –2 дБм на частоте 20 ГГц, перестройка по диапазону частот достигается изменением управляющего напряжения в диапазоне 0–20 В. Генератор питается напряжением 5 В,

а

б

Рис. 11. Широкополосные усилители: а) BA28; б) DMD32

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


ВЧ/СВЧ-элементы

а

б

Рис. 12. Управляемые генераторы: а) SG20A; б) SG30A

а

б

компоненты

21

давление составляет 10 дБ, а у ML25В оно 20 дБ. Потери в фильтре несколько снижают выходную мощность, поэтому на частоте 28 ГГц она составляет 13 дБм у ML25A и 11 дБм у ML25В. Размеры умножителей 282311,1 мм. Модуль FD28B позволяет поделить частоту синхросигнала на 2 (прямой и инверсный выходы с мощностью –9 дБм), 4 (–7 дБм), 16 (–4 дБм) и 32 (прямой и инверсный выходы с мощностью 3 дБм). Частотный диапазон входного сигнала 3,2–28 ГГц, допустимый диапазон его мощности –7…+3 дБ. Напряжения питания делителя 5 В с током 340 мА и –3,3 В с током 210 мА, размеры 372811,1 мм. Фазовращатели представлены двумя моделями: PS14B и PS32B (рис. 15). Фазовращатель PS14B работает в диапазоне частот 10–14 ГГц и предназначен для дискретной подстройки фазы субгармоники синхросигнала ВОЛС со скоростью 20–28 Гбит/с или цифровых данных со скоростью 12,5 Гбит/с. Время задержки устанавливается в двоичном коде с помощью пяти микропереключателей, расположенных на плате прибора. Дискрет времени задержки составляет 3 пс, а полный диапазон регулировки более 90 пс. Мощность входного сигнала должна находиться в диапазоне –30…+3 дБм. Мощность выходного сигнала можно менять в диапазоне –6…–2,2 дБм изменением внешнего управляющего напряжения. Напряжение питания фазовращателя –3,3 В при токе 180 мА, размеры 253512,2 мм.

Рис. 13. а) Резистивный делитель мощности DV30A; б) направленный ответвитель DV25A

потребляя мощность 400 мВт, его размер 182811,1 мм. Модуль SG30A можно перестраивать по частоте в диапазоне 20–28 ГГц, изменяя управляющее напряжение в диапазоне 0–6 В. Выходная мощность генератора 13 дБм на частоте 25 ГГц, напряжение питания 5 В при токе 160 мА, размер 232811,1 мм. Для разделения мощности сигнала с одного входного порта на два выходных Waka предлагает резистивный делитель (сплиттер) и две модели направленных ответвителей. Все три порта сплиттера DV30A (рис. 13) равнозначны и соединены «звездой» из резисторов с одинаковым сопротивлением, поэтому в качестве входного может использоваться любой порт. Коэффициент ослабления сплиттера 6 дБ, входная мощность 18,5 дБм, верхняя граничная частота 30 ГГц, размер 12184,4 мм. Направленные ответвители позволяют разделить мощность входного сигнала с меньшими потерями, чем сплиттеры, но они не могут быть столь же широкополосными. Так, рабочий диапазон частот составляет 10–18 ГГц для DV15A и 18–25 ГГц для DV25A. Допустимая входная мощность обоих ответвителей 18,5 дБм, размеры 21134,4 мм. В числе активных модулей фирмой Waka выпускаются удвоители частоты синхросигнала с управляемыми выходными аттенюаторами ML25A и ML25В, а также делитель частоты синхросигнала FD28B (рис. 14). У обоих удвоителей частотный диапазон выходного сигнала составляет 19–28 ГГц, диапазон регулировки его уровня 30 дБ. Устанавливать уровень выходного сигнала можно как подстроечным резистором, так и внешним управляющим напряжением. Питаются удвоители от источника напряжением 5 В током 160 мА, для аттенюатора нужен дополнительный источник с напряжением –3,3 В. Отличие моделей заключается в том, что умножитель ML25В оснащен фильтром подавления сигнала входной частоты: у ML25A по-

а

б

Рис. 15. Регулируемые фазовращатели: а) PS14B; б) PS32B

Фазовращатель PS32B предназначен для плавной регулировки времени задержки цифрового информационного сигнала со скоростью до 32 Гбит/с. Минимальное межпиковое значение входного сигнала 50 мВ, максимальное 0,9 В. Задержка устанавливается подстроечным резистором на плате прибора или внешним напряжением, диапазон ее изменения 70 пс. Входные и выходные сигналы фазовращателя дифференциальные. Время нарастания и спада выходного сигнала 14 пс, дополнительный джиттер 0,8 пс. Напряжение питания модуля 3,3 В при токе 500 мА, размеры 2931,411,1 мм. Для удаления субгармоники из синхросигнала с частотой 20–28 ГГц фирма Waka выпускает фильтр FI25A (рис. 16а). Вносимые фильтром потери в полосе пропускания 18–28 ГГц не превышают 2,5 дБ, а подавление в полосе заграждения 9–14 ГГц не менее 17 дБ (рис. 16б).

а б

б

Рис. 14. а) Удвоитель частоты ML25В; б) делитель частоты FD28B

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

а

Рис. 16. Полосовой фильтр FI25A (а) и его частотная характеристика (б)

www.kite.ru


компоненты

22

ВЧ/СВЧ-элементы

а

б

Рис. 17. а) Фиксированный аттенюатор серии АТ; б) управляемый аттенюатор VT30A

новости

и модуль согласованной нагрузки TM40L номиналом 50 Ом. Допустимая входная мощ-

Реклама

Фирма предлагает коаксиальные фиксированные аттенюаторы АТ03, АТ06 и АТ10 с ослаблением соответственно 3, 6 и 10 дБ для широкополосных сигналов с частотой до 40 ГГц и управляемый аттенюатор VT30A для регулировки в диапазоне 30 дБ синхросигнала с частотой 20–28 ГГц (рис. 17). Допустимая входная мощность аттенюаторов серии АТ 18,5 дБм, аттенюатора VT30A 30 дБм. Управлять этим аттенюатором можно с помощью расположенного на нем подстроечного резистора или внешнего напряжения –2,5…0 В. Размеры фиксированных аттенюаторов 1563,9 мм, а управляемого аттенюатора 182811,1 мм. Самыми простыми можно считать модуль развязки от постоянного напряжения DB40A, представляющий собой конденсатор,

ность этих модулей 18,5 дБм, рабочая частота до 40 ГГц. Фирма Waka предлагает широкий ассортимент высококачественных компонентов и модулей как для радиоэлектронных СВЧсистем, так и для электронного тракта высокоскоростных ВОЛС. Девиз фирмы — «Распространять японский принцип монодзукури по всему миру». Буквальный перевод термина «монодзукури» означает «процесс создания вещей», но его реальный смысл глубже: это особая технология ведения бизнеса, которую можно описать как «искусство, наука и мастерство производства». Надо признать, что следование этому принципу дает положительные результаты. n

события

Форум-выставка «Передовые Технологии Автоматизации. ПТА — Санкт-Петербург 2016» 1–2 июня 2016 года в девятый раз откроет двери Специализированный форум с выставочной зоной «Передовые Технологии Автоматизации. ПТА — Санкт-Петербург 2016». Место проведения: Санкт-Петербург, конференц-зал «Конгресс» (Шпалерная ул., 56). Организатор: ЗАО «ЭКСПОТРОНИКА». Ежегодно деловые мероприятия форума посещают более 150 руководителей, ведущих инженеров и IT-специалистов проектных организаций, строительных, управляющих, инвестиционных и девелоперских компаний, а также предприятий энергетики, транспорта и других отраслей Санкт-Петербурга и Ленинградской области. В этом году форум значительно расширил зону выставочной экспозиции, чтобы большее число посетителей смогли ознакомиться с разработками, проектами и решениями участников в области автоматизации. В первый день форума состоится конференция по автоматизации инженерных систем зданий и промышленных объектов со следующими секциями: • Тенденции в автоматизации зданий и промышленных объектов. • Практика диспетчеризации инженерных систем зданий и сооружений. • Интеллектуальные системы безопасности. • Круглый стол «Умный дом будущего». Эксперты обсудят, какие перспективы открывает развитие систем автоматизации зданий, когда наступит время энергосбережения, энергоэффективности и «зеленых технологий» и как уже сейчас можно снизить эксплуатационные расходы зданий. Посетители ознакомятся с проектами диспетчеризации жилых и социальных объектов, практикой удаленного мониторинга инженерных систем, комплексными системами безопасности интеллектуальных зданий. В ходе круглого стола состоится дискуссия о том, какие технологии, стандарты и протоколы будут играть ключевую роль в автоматизации зданий

будущего, какие фантазии заказчиков позволяют реализовать системы «умный дом» и какие возможности открывает «Интернет вещей». Второй день будет посвящен теме промышленной автоматизации. В ходе секции «Импортозамещение в промышленной автоматизации» докладчики рассмотрят отечественные интеллектуальные компоненты и ПО для систем автоматизации, обсудят промышленные компьютеры российского производства. Продолжит работу секция «Передовые технологии автоматизации в проектах и решениях». Эксперты поделятся опытом внедрения SCADAсистем на предприятиях, практикой эффективного проектирования автоматизированных систем, приведут примеры отраслевых решений автоматизации конкурентоспособных производств. Направление информационных технологий будет представлено секцией «Построение и безопасность промышленных сетей». На повестке дня — вопросы кибербезопасности промышленного ITконтура, повышение надежности и безопасности беспроводных сетей в промышленных условиях. В заключение состоится круглый стол на актуальную тему «Перспективные технологии автоматизации: Индустрия 4.0». Слушатели узнают о технологиях четвертой промышленной революции, возможностях аддитивных технологий 3D-печати, промышленном «Интернете вещей» и облачных технологиях для «умного» производства. Контакты по вопросам участия и сотрудничества: Санкт-Петербург Москва Мирослава Голуб Наталья Пискунова тел.: +7 (812) 448-03-38 Тел.: +7 (495) 234-22-10 e‑mail: golub@pta-expo.ru e‑mail: piskunova@pta-expo.ru Узнать подробнее о мероприятии и получить бесплатный билет для посещения можно на сайте www.pta-expo.ru/spb/. КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


24

новости

компоненты

Двухканальные компоненты управления тактовыми сигналами ZL30244, ZL30245 и ZL30255 от Microsemi

вых сигналов применение ИС ZL30244, ZL30245 и ZL30255 сокращает количество используемых компонентов и снижает стоимость готового решения при обеспечении отличных характеристик параметра джиттера. ИС ZL30244 и ZL30245 предназначены для применения в разработках преобразования и синтеза частоты, а ИС ZL30255 — для общих задач ослабления джиттера и преобразования частоты. При совместном использовании с разветвляющими буферами семейства ZL402XX компании Microsemi

www.icquest.ru

Реклама

Корпорация Microsemi представила три новых устройства семейства компонентов управления тактовыми сигналами: ИС ZL30244 и ZL30245 — два двухканальных умножителя тактовых сигналов и синтезатора частот, а также ИС ZL30255 — двухканальный умножитель тактовых сигналов и аттенюатор джиттера. Представленные устройства управления тактовыми сигналами разработаны на основе одноканальных ИС ZL30250/251 и ZL30253 компании Microsemi. При создании древовидных схем такто-

ИС ZL30244/45 и ZL30255 обеспечивают законченные решения для таких сложных систем, как базовые станции беспроводной связи, беспроводные опорные сети, инфраструктура доступа, применение SONET/SDH, GE, 10G/40G/100G Ethernet, оптические разработки, системы хранения и передача видео. Основные особенности ИС ZL30250, ZL30251 и ZL30253 компании Microsemi: • преобразование частоты «любой к любому» с ошибкой 0 ppm (вход: 1 кГц – 1,25 ГГц, выход: 1 Гц – 1 ГГц); • цифровая схема ФАПЧ с программируемой полосой пропускания 14–500 Гц (ИС ZL30255); • четыре универсальных входа тактовых сигналов, преобразуемые в любой стандарт с любым напряжением; • до шести дифференциальных выходов, до двенадцати выходов стандарта КМОП; • конфигурируемый стандарт и напряжение выходного сигнала для прямого подключения к потребителям без применения дополнительных компонентов; • автоматическая самоконфигурация при включении от интегрированного EEPROM (ИС ZL30245, ZL30255) или внешнего EEPROM (ИС ZL30244), до четырех различных конфигураций, выбираемых подключением выводов ИС; • режим работы генератора с цифровым управлением, выходные частоты могут быть изменены с очень высокой разрешающей способностью системным ПО.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


компоненты

26

датчики

Комбинированный алгоритм обнаружения пламени для извещателей пламени, работающих по принципу спектральной селекции

Андрей Потехин

Пожарные извещатели пламени (ИП), как и остальные средства безопасности, непрерывно совершенствуются. В настоящее время основная часть подобных приборов использует для распознавания пламени детектирование низкочастотной модуляции излучения в инфракрасном диапазоне. Также достаточно широко в ИП применяется детектирование излучения пламени в ультрафиолетовом диапазоне на длинах волн от 180 до 260 нм, свободном от излучения Солнца.

Введение В дополнение к этим методам существует и третий — метод спектральной селекции, не требующий анализа амплитудных характеристик излучения и основанный на спектральном анализе, который способен выявить максимумы излучения пламени [1]. Особенностью спектральной характеристики излучения пламени в инфракрасной области (рис. 1), позволяющей реализовать принцип спектральной селекции, можно считать наличие двух выраженных «пиков» излучения с максимумами на длинах волн 2,9 мкм (полоса излучения нагретых паров воды) и 4,4 мкм (полоса излучения СО2) и «провала» излучения на длинах волн 3,2–4 мкм. Принцип спектральной селекции основан на точном количественном измерении интенсивности инфракрасного излучения в характерных для испускания пламени спектральных поддиапазонах и вне их. После логической обработки результатов измерений принимается решение о наличии или отсутствии пламени. Спектры возможных «помех» для извещателя пламени в инфракрасной области представлены на рис. 2.

Рис. 1. Спектр углеводородного пламени в ИК-области спектра

Для реализации этого варианта применяются фотоприемники, которые для своей работы не требуют модуляции интенсивности излучения и обладают необходимым диапазоном спектральной чувствительности. Метод спектральной селекции отличается от вышеуказанных высокой помехозащищенностью по отношению к оптическим помехам в ультрафиолетовом и «мерцающим» оптическим помехам в инфракрасном диапазонах спектра, а также высоким быстродействием. Этот метод используется в ИП «Набат» производства АО «НИИ «Гириконд» [2, 3]. В качестве фотоприемного элемента в ИП «Набат» применяется быстродействующий двухэлементный фотогальванический приемник инфракрасного излучения, состоящий из основного и опорного каналов. Спектральные характеристики каналов определяются как физическими свойствами материала фоточувствительного элемента, так и соответствующими интерференционными фильтрами. Диапазон спектральной чувствительности основного канала фотоприемного элемента должен совпадать с характерными полоса-

Рис. 2. Спектры возможных помех в ИК-области спектра

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


датчики

Принцип действия извещателей В основе метода спектральной селекции лежит точное измерение уровня интенсивности излучения в основном и опорном каналах. После измерения вычисляется разница между обоими сигналами (РС). При превышении РС определенной, заданной программой контроллера, величины — уровня сравнения (УС) — происходит выдача извещения о наличии пламени в поле зрения ИП. При этом уровень сравнения является величиной, значение которой корректируется только при изменении температуры окружающей среды (корректируется изменение чувствительности фотоприемника) и не зависит от фонового излучения (инфракрасных помех, попадающих в поле зрения ИП). Данный алгоритм является быстродействующим, однако при наличии инфракрасных помех может привести к ложным срабатываниям ИП, если фотоприемник не рассчитан на работу с таким фоновым из-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

лучением, то есть опорный канал полностью не компенсирует увеличение теплового фона в поле зрения ИП. Этого можно избежать, введя «плавающий» (меняющийся в соответствии с изменением теплового фона объектов в поле зрения ИП) УС и дополнительные критерии проверки наличия излучения пламени. Такими критериями могут быть низкочастотные колебания пламени или сигнал от дополнительного ультрафиолетового канала. Применение дополнительного УФканала значительно увеличивает себестоимость ИП, а также повышает время детектирования пламени до 3–6 с. В качестве дополнительного критерия в статье предлагается детектирование низкочастотной модуляции излучения пламени в ИК-диапазоне. При использовании алгоритма с двумя критериями наличия пламени на первом этапе методом спектральной селекции с интервалом 1 с вычисляется разница сигналов между основным и опорным каналами. В случае превышения сигнала основного канала над сигналом опорного — разница является положительным числом. Положительное значение РС сопоставляется с уровнем сравнения, записанным в памяти контроллера при настройке ИП. Если значение РС больше УС на заданную в процессе настройки ИП величину, то с интервалом в 1 с проводится еще одно контрольное измерение РС, а затем, по достижении двух подряд превышений РС над УС, выполняется проверка по дополнительному критерию. В противном случае счетчик превышений сбрасывается, а уровень сравнения остается неизменным. Для идентификации пламени по эффекту пульсации интенсивности его инфракрасного излучения необходимо фиксировать низкочастотные колебания пламени в диапазоне от 2 до 20 Гц. В ходе проведенных экспериментов на очаге ТП‑5 (горение гептана на площади 0,1 м2) и ТП‑6 (горение спирта на площади 0,2 м2) получены результаты, приведенные в таблице. По итогам эксперимента в качестве частот для идентификации пламени были выбраны частоты 2, 4 и 6 Гц. Критерием выбора частот была величина амплитуды колебаний интенсивности инфракрасного излучения пламени на данной частоте. Таблица. Амплитуда колебаний интенсивности излучения пламени в зависимости от частоты колебаний Частота, Гц

ми в инфракрасном спектре излучения пламени. Диапазон спектральной чувствительности опорного канала должен находиться вне этих полос излучения. В зависимости от различных комбинаций материалов фоточувствительного слоя и спектральных характеристик интерференционных фильтров в инфракрасных ИП «Набат» используются три варианта фотоприемников. Наиболее простой и дешевый в изготовлении вариант фотоприемника (тип 1) обеспечивает защиту от ложных срабатываний, в соответствии с требованиями [4], при воздействии оптических помех: фоновой освещенности от люминесцентной лампы 2500 лк и от лампы накаливания 250 лк. Кроме того, ИП с фотоприемником типа 1 защищен от ложных срабатываний при воздействии инфракрасного излучения от нагретых объектов с температурой до +120 °C. В сложных условиях эксплуатации нередко требуется дополнительная защита от помех. Например, в производственных условиях часто необходима защита от инфракрасного излучения значительно более нагретых объектов. В этом случае используются модификации фотоприемника, обеспечивающего защиту от нагретых объектов температурой до +250 °C (тип 2) и от нагретых объектов температурой до +500 °C (тип 3). При этом с увеличением степени защиты от нагретых объектов значительно возрастает сложность изготовления и себестоимость фотоприемника в связи с применением иных материалов фоточувствительных слоев и более сложных оптических фильтров. В данной статье рассматривается комбинированный алгоритм обнаружения пламени, позволяющий использовать более простые по конструкции фотоприемники при сохранении высокой помехозащищенности ИП к излучению нагретых объектов.

2 4 6 8 10 12

компоненты

27

При проверке по дополнительному критерию производится 64 выборочных измерения с интервалом порядка 15,5 мс. Затем для повышения помехозащищенности процесс повторяется. Из полученных выборок методом преобразования Фурье вычисляются амплитуды частот 2, 4 и 6 Гц в измеренном сигнале. При превышении амплитудой определенного значения хотя бы на одной из частот принимается решение о наличии пламени в поле зрения ИП. Если же амплитуда на всех трех частотах меньше заданного значения, это свидетельствует о появлении в поле зрения ИП тепловой оптической помехи. В таком случае измеренное на первом этапе значение РС становится новым значением уровня сравнения и сохраняется в памяти контроллера. При исчезновении тепловой оптической помехи из поля зрения ИП значение РС становится меньше УС. Для повышения помехозащищенности в этом случае проверка производится три раза подряд с интервалом в 1 с, затем значение РС становится новым значением уровня сравнения. Если разница (с любым знаком) между РС и УС менее установленной программно величины, то значение УС остается неизменным и анализ спектра низкочастотных колебаний интенсивности инфракрасного излучения пламени не производится. Это помогает сократить влияние погрешности измерения и уменьшить энергопотребление контроллера. Используя данный алгоритм, мы получаем «плавающий» уровень сравнения, зависящий от теплового фона в поле зрения ИП. Таким образом, в сложных условиях эксплуатации можно использовать фотоприемники, изначально не предназначенные для этого. Для извещателей «Набат» фотоприемник типа 2 может быть заменен более простым и дешевым в изготовлении типом 1, а тип 3 — типом 2. К недостаткам данного алгоритма следует отнести снижение быстродействия ИП от 100 мс (минимальное время срабатывания) до 4–5 с, что укладывается в нормативы, представленные в [4]. Следует заметить, что детектирование низкочастотной модуляции пламени производится на сигнале, полученном с помощью метода спектральной селекции, что дополнительно повышает помехозащищенность ИП.

Заключение

Амплитуда на данной частоте, усл. ед. № измерения 1

2

3

4

5

48 28 20 11 9 6

103 20 12 29 13 8,5

51 61 40 14 20 15

73 44 32 7 11 2

77 16 10 6 10 3

Использование алгоритма с двумя критериями обнаружения пламени помогает значительно снизить технологические и материальные издержки при изготовлении уже существующих ИП производства ОАО «НИИ «Гириконд». Во многих случаях ИП, работающий по такому алгоритму, способен замещать комбинированные ИК/УФ- или www.kite.ru


компоненты

28

многодиапазонные ИК-извещатели пламени от различных производителей. Алгоритм универсален и может использоваться как для существующих, так и для перспективных ИП, работа которых основана на принципе спектральной селекции. Опытные образцы показали высокую помехоустойчивость к инфракрасному излучению раскаленных и нагретых объектов при сохранении дистанции обнаружения пламени. n

новости

датчики

Литература 1. Дийков Л. К., Медведев Ф. К., Шелехин Ю. Л. и др. Электронно-оптические извещатели пламени. ИК-приемники нового поколения // Электроника: Наука, Технология, Бизнес. 2000. № 1. 2. Патент РФ на промышленный образец № 53744, приоритет 18.06.2002. Извещатель пожарный пламени многодиапазонный / Л. К. Дийков,

А. Л. Буркин, С. П. Варфоломеев и др. Заявитель ОАО «НИИ «Гириконд». 3. Патент на изобретение № 2296370, приоритет 27.05.2005. Инфракрасный многодиапазонный детектор пламени и взрыва / Н. И. Горбунов, Л. К. Дийков, Ф. К. Медведев. 4. ГОСТ Р 53325-2012 «Техника пожарная. Технические средства пожарной автоматики. Общие технические требования и методы испытаний».

рынок

Новый интеллектуальный информационный портал ProSoft360

Реклама

таким образом, чтобы получать только интересующую его информацию. Информационный ресурс ProSoft360 отличается удобным и интуитивно понятным дизайном. Все посетители могут воспользоваться быстрым поиском по содержимому сайта. Авторизация на сайте

максимально упрощена до одного клика (можно использовать свои логин и пароль из соцсетей). Кроме того, зарегистрированные пользователи смогут оставлять закладки, комментировать информационные материалы, а также отмечать их как «понравившиеся» или «не понравившиеся». Портал уже адаптирован для просмотра с мобильных устройств. Нужно отметить, что вся информационная составляющая ProSoft360 опирается на «базу знаний», расположенную на техпортале «ПРОСОФТ» — на ресурсе, где собрана и упорядочена вся техническая, презентационная и коммерческая информация о продукции, входящей в программу поставок компании «ПРОСОФТ». www.prosoft.ru

Реклама

В марте 2016 года компания «ПРОСОФТ» запускает информационный ресурс ProSoft360. Новый сайт представляет современную платформу, на которой собран полный спектр новинок продукции компании, а также максимально освещены тренды развития промышленной автоматизации и встраиваемых систем. В качестве базового контента на сайте размещена информация о новинках продукции и оригинальных технологиях поставщиков, обзоры, исследования, а также аналитика рынка промышленной автоматизации. Все новостные материалы портала делятся на тематические разделы. Благодаря функции «Управление подпиской» каждый пользователь сможет настроить для себя новостную рассылку

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


компоненты

30

разъемы

Миниатюрные, микроминиатюрные и ультраминиатюрные: насколько маленькими могут быть соединители?

Боб Стентон (Bob Stanton)

Ч

то в настоящее время происходит с размерами соединителей? Одним из направлений развития соединителей как для гражданского, так и для военного применения является уменьшение их габаритов (рис. 1). Разъемы для телефонов, ноутбуков или, например, портативных устройств военного назначения становятся все легче и компактнее. Вопрос лишь в том, до какого предела эти размеры можно сокращать? Необходимо помнить, что продукция данной отрасли широко распространена во многих областях, а потому использование соединителей требует соблюдения определенных условий по их эксплуатации и надежности. Устройства, созданные для домашнего применения, стоимость которых можно и даже нужно снизить, очень сильно отличаются от изделий промышленного назначения, например для авиации или космоса. Сокращение размеров разъемов специального назначения произошло очень быстро и в основном за счет научно-технического развития. Появление новых технологий производства и монтажа кристаллов интегральной схемы (ИС) позволяет существенно увеличить производительность, технические возможности и нагрузку устройств.

В свете тенденции миниатюризации устройств возникает вопрос, насколько можно уменьшать размеры соединителей? В статье речь идет о том, какие при этом существуют ограничения, а также рассматриваются различные факторы, влияющие на сокращение габаритов соединителей.

Применение кристаллов ИС снижает потребление напряжения и тока, увеличивает срок службы батареи питания, обеспечивает более высокую скорость работы, хранение и обработку значительно бóльшего объема данных. Уменьшение габаритов соединителей стало более простым процессом за счет нового программного обеспечения и возможности напрямую изготавливать корпусы разных размеров и форм на современных станках с ЧПУ буквально за несколько минут. Все это позволяет разработчикам, которые стремятся как можно рациональнее использовать пространство, а следовательно, и больше сократить размеры соединительных систем, выпускать прототип намного быстрее и по приемлемой цене. Часто для тестирования своих плат специалисты используют стандартные разъемы, но в итоге сталкиваются с тем, что необходимо быстро изменить их конструкцию. Вот почему многие фирмы-изготовители предлагают онлайн-консультации по проектированию и конструированию таких изделий, при этом время исполнения для нового формата составляет около двух дней. Требования к размерам соединителей, однако, часто основаны на нескольких ключевых показателях, учитывающих условия применения изделий и ограничения по эксплуатации.

Электрическая нагрузка

Рис. 1. Разъем с 24 контактными штырями, расположенными в два ряда с шагом 0,635 мм

Каждый разъем должен иметь поверхность контакта с низким сопротивлением и выдерживать достаточную величину тока для обеспечения работы платы. К счастью, мы наблюдаем тенденцию к уменьшению силы тока, что позволяет элементам соединения становиться меньше и меньше до тех пор, пока они находятся в пределах диапазона безопасности, обеспечивая хорошую производительность с учетом колебаний подаваемого

напряжения и сигнала. Часто пределы величины тока, устанавливаемые изменением диаметра провода в кабеле, влияют на тепловые характеристики и производительность соединительной системы, поскольку длина провода увеличивает значение сопротивления.

Целостность сигнала Поскольку соединители и электронные модули размещаются в ограниченном пространстве, действие смежных элементов не должно влиять на работу платы. В конструкции следует предусматривать защиту от помех сигнала и часто более сильную защиту от электромагнитного излучения и/или поглощения других сигналов и шумов. Использование некоторых современных способов защиты и фильтрации также может способствовать уменьшению размера.

Внешние условия Соединители с повышенной прочностью конструкции, предназначенные для работы в экстремальных условиях, должны иметь характеристики, обеспечивающие постоянную поддержку сигнала во время ударов, вибрации, а также во время сильных перепадов температуры, возникающих, например, во время значительного теплового расширения или сжатия в открытом космосе (рис. 2). В некоторых портативных устройствах соединители должны выдерживать попадание на них капель воды или соли, а иногда и полное погружение в воду. Степени защиты оболочки (IP-стандарты) соответствуют международным стандартам защиты устройств от внешней среды. Для предохранения от попадания влаги часто используются уплотнители из эластомеров. И так как размеры устройств уменьшаются, возникает потребность в более мелких уплотнителях, сохраняющих при этом свои свойства.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


разъемы

Рис. 2. Обрывной влагозащищенный цилиндрический наноразъем с упрочненной конструкцией

Мобильность плат Подключение микросхем, расположенных в крохотных секциях портативного оборудования, — это еще один важный вопрос в нынешних условиях миниатюризации (рис. 3). Соединение элементов в роботизированных протезах, размещение таких устройств в измерительных наконечниках и датчиках, добавление дополнительных соединений в небольшие метрологические спутники, крепление камер к военным шлемам — лишь некоторые примеры, когда в портативный прибор необходимо поместить большое количество элементов. Для этих целей и сокращаются размеры. Пересматривается использование стандартных решений в пользу специально создаваемых моделей, удовлетворяющих требованиям к размерам и надежности, для потенциального размещения и эксплуатации в подобных устройствах. Уменьшение размеров штепсельных разъемов ограниченно, они должны поместиться в нужное пространство, при этом необходимо учитывать степень надежности соединения. Использующиеся плоские разъемы можно уменьшать и дальше, если есть возможность снизить требования к производительности и надежности. Изменение конструкции схемы, если объединить некоторые системы сигналов для уменьшения количества проводов, также может принести пользу. Если способ сборки основан на применении соединителей, то они могут рассматриваться только как набор циклов соединения и разъединения. В последнее время одной из первичных задач стало объединение двух или трех соединений в одно. Напряжение, высокочастотные и цифровые сигналы могут проходить через один соединитель с использованием технологии изоляции, которая позволяет защититься от перекрестных и электромагнитных помех.

Рис. 3. Цилиндрический разъем с 46 контактами с шагом 0,635 мм

позволило создать более плотную разводку соединений. Кроме того, употребление специальных изоляционных материалов с низкой диэлектрической прочностью также способствует сокращению габаритов устройства, поскольку скорость действия схемы увеличивается, а ее размер уменьшается. При этом не менее важную роль играют цена и прочность. Например, разъемы для межплатного соединения, выполненные с помощью технологии запрессовки контактных штырей, занимают немного места и вызывают крайне малые аберрации при передаче сигнала. Технологии конструирования устройств повышенной прочности постоянно совершенствуются, поэтому вопрос, насколько маленькими могут быть соединители, еще открыт. Мы уже видели, как большие соединители, в частности цилиндрические соединители стандарта 38999, были заменены меньшими и более легкими цилиндрическими микроразъемами с шагом контактов

компоненты

31

Рис. 4. PZN-наносоединители

1,27 мм. Более того, уже существуют круглые наноразъемы с шагом контакта 0,635 мм, хорошо выдерживающие несколько высокоскоростных сигналов (рис. 4). Разрабатываются соединители и с плоской матричной конфигурацией контактов, или интерпозерами. Такие компании, как Neoconix, постоянно ищут новые пути уменьшения высоты корпуса, размеров и веса соединителей, предназначенных для приборов с высокой подвижностью. Поскольку ограничения по трассировке печатных плат уже преодолены, эти контакты позволят развести плоские гибкие кабели более компактно относительно плотно укомплектованных наборов плат для сокращения размеров и веса устройств. Основной вопрос — четко определить основные характеристики для того или иного применения соединителей, а также предел, до которого их можно уменьшать, не теряя целостности n сигнала.

Как можно видеть на примере сотовых телефонов, миниатюрные соединители обладают отличными эксплуатационными свойствами, причем один элемент может поддерживать прохождение и сигнала, и напряжения. Такое применение одного соединения вместо двух с помощью ленточного провода или выводной рамки привело к увеличению скорости обработки сигналов. Тщательно продуманное использование формы и пространства

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Реклама

Проблемы и рынок

www.kite.ru


32

новости

датчики

Индуктивный сенсорный датчик LX3302 от Microsemi с диапазоном рабочих температур до +150 °C использоваться для применений, связанных с системами управления и промышленной автоматизацией, включая измерение линейного смещения (детектирование уровня жидкости, измерение положения привода коробки передач и детектирование приближения), углового движения (положение роботизированной руки, положение вращающегося вала или педали). ИС LX3302 соответствует требованиям для автомобильных применений, включая стандарт AECQ100 (уровень 0). Основные особенности ИС LX3302: • бесконтактный датчик обеспечивает повышенный уровень надежности; • интегрированный температурный датчик; • диапазон рабочих температур: –40…+150 °C; • встроенный конфигурируемый микроконтроллер; • интегрированные блоки генератора и демодулятора; • два независимых входных канала датчика с 13‑битными АЦП; • программируемые частоты дискретизации до 2 кГц; • фильтры электромагнитных помех на входных каналах; • 32×16 слов программируемой пользователем конфигурационной памяти EEPROM; • встроенная система самодиагностики, соответствие требованиям ISO 26262; • выходы: аналоговые 0–5 В, ШИМ, аналоговые синус/косинус, последовательные интерфейсы (SENT и PSI5). www.icquest.ru

Реклама

Корпорация Microsemi представила новый компонент семейства интерфейсов датчиков ИС LX3302, основанный на индуктивной технологии детектирования. Целевыми рынками ИС LX3302 являются разработки устройств для автомобилестроения, аппаратура гражданской авиации и промышленная автоматика. ИС LX3302 имеет улучшенные характеристики по сравнению с LX3301A, обладает расширенным диапазоном рабочих температур до +150 °C и большим набором системных интерфейсов, включающих аналоговые выходы синус/косинус, интерфейсы SENT и PSI5, а также стандартный аналоговый выход и выход ШИМ. Кроме того, ИС LX3302 имеет вдвое больший объем памяти EEPROM по сравнению LX3301A, что позволяет использовать менее точные и более дешевые датчики. Применение новых компонентов, базирующихся на принципе работы линейно-регулируемого дифференциального трансформатора, показывает, что их эксплуатация приводит к повышению устойчивости устройств к воздействию помех. Технология индуктивных датчиков может заменить традиционные решения, базирующиеся на датчиках Холла, восприимчивых к внешним магнитным полям и/или металлическим объектам. При использовании индуктивной технологии не требуется применения магнитов, что повышает стойкость к таким воздействиям. Специалисты могут использовать их в разработках детектирования механического движения, поскольку она обеспечивает существенные улучшения температурной стабильности, повышение уровня надежности, безопасности, а также снижение общей стоимости разработки. Датчики являются основным элементом в обратной связи фактически всех систем с замкнутой схемой регулирования, поэтому ИС LX3302 может

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


компоненты

34

разъемы

Проникая сквозь лед: высоконадежные соединители Harwin серии Datamate выбраны для использования в престижном проекте нейтринного телескопа AMANDA II

Евгений Дугин dugin@ranet.ru

Введение С развитием науки и технологий появляются все новые способы изучения космического пространства и процессов, происходящих в нем. Оказалось, что исследованием космоса можно заниматься не только непосредственно в самом космическом пространстве или наблюдая за ним с поверхности Земли. На самой нашей планете можно обнаруживать следы космической деятельности, исследуя которые можно судить о процессах, происходивших за миллиарды световых лет от Земли. Об одном из таких способов, а именно о создании нейтринного телескопа AMANDA II в Антарктике, а также об одной из технических задач, с которой пришлось столкнуться при реализации данного проекта, и пойдет речь в статье.

Рис. 1. Антарктический лагерь проекта AMANDA II

Космос — общее достояние человечества. Поэтому проблема его мирного освоения относится к числу глобальных. С одной стороны, она затрагивает интересы всех государств, а с другой — требует технических, экономических, интеллектуальных усилий многих стран и народов, открывая тем самым огромные возможности для сотрудничества всего человечества на многие десятилетия и даже века вперед. Исследование и практическое использование космического пространства концентрирует в себе новейшие достижения различных отраслей науки и техники, во многом определяя уровень научно-производственного развития передовых стран мира и их конкурентоспособность.

Картография небесного пространства Глубоко проникая в антарктический лед, телескоп AMANDA II (антарктическая детекторная матрица мюонов и нейтрино) предназначен для мониторинга по направлению не вверх, а вниз, сквозь земную поверхность, для картографирования небесного пространства в Северном полушарии, детектируя активность высоких энергий космических нейтрино (рис. 1). При выборе системы электрических соединений, которые будут использоваться в этом престижном проекте, разработчикам из научной лаборатории Физического университета штата Висконсин понадобились очень надежные миниатюрные соединители, которые также способны обеспечивать высокие скорости передачи данных (рис. 2). Разработанный ими телескоп IceCube, принадлежащий к следующему поколению нейтринных телескопов, состоит из 677 стеклянных оптических модулей, каждый размером с шар для боулинга (рис. 3). Между собой они связаны в систему 19 кабельными жгутами и проникают глубоко сквозь лед с помощью сверл горячей воды высокого давления (рис. 4). Система преобразует толщу льда цилиндрической формы глубиной 500 и диаметром 120 м в детектор частиц. Стеклянные модули работают как лампочки, только в обратном направлении. Они обнаруживают

и захватывают слабые и кратковременные лучи света, возникающие в том случае, когда нейтрино сталкиваются с атомами льда внутри или вблизи детектора (рис. 5). Субатомные обломки создают мюоны, еще один вид субатомных частиц, которые оставляют мимолетные лучи синего света в толще антарктического льда. Полоса света соответствует пути нейтрино и указывает на его ис-

Рис. 2. Процесс бурения скважины для IceCube

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


разъемы

Рис. 3. Телескоп IceCube

ходную точку. Регулярное обнаружение нейтрино дает астрономам возможность изучать такие необычные явления, как столкновения черных дыр, не только с помощью обычных телескопов. Описанным способом возможно получать прямой доступ к информации, дошедшей до нас в неизменном виде, о событиях, которые произошли миллионы лет назад на расстоянии сотен миллионов или миллиардов световых лет от Земли.

Требования по высокой надежности Для завершения проекта Университету штата Висконсин была необходима система коммутации для выполнения силовых и коммуникационных сигналов к материнской печатной плате внутри каждого цифрового оптического модуля (ЦОМ). Команда разработчиков рассмотрела целый ряд соединителей для этого проекта, но ни один из них не соответствовал требованиям высокой надежности и высокой скорости передачи данных. Хотя надежность и находилась на первом месте среди необходимых требований, не менее важным было подобрать разъемы наименьших размеров, поскольку существовали значительные пространственные ограничения. Кроме того, разъем должен был иметь обжимные контакты и систему фиксации ответных частей.

Решение задачи с помощью соединителей Harwin серии Datamate После оценки нескольких вариантов соединителей были выбраны соединители серии Datamate от Harwin (рис. 6) — британского производителя высоконадежных

Рис. 6. Кабельные сборки Harwin серии Datamate

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Рис. 4. Система телескопов IceCube, связанных кабельной сетью

компоненты

35

Рис. 5. Телескоп IceCube в скважине

и высокопроизводительных соединителей, которые удовлетворяют всем описанным требованиям. Розетки Harwin серии Datamate с обжимными контактами и вилки с контактами для пайки на печатную плату были использованы в качестве коммутирующих устройств в проекте IceCube (рис. 7). Обе ответные части были изготовлены с толщиной золотого покрытия контактов 1,27 мкм вместо стандартной толщины 0,75 мкм, чтобы обеспечить высокоскоростную передачу данных в соответствии с требованиями разработчиков. Эти соединители расположены в датчиках, имеющих размер и форму шара для боулинга. Полностью все характеристики соединителей Harwin серии Datamate разбиты по типам и сведены в таблицы 1–4.

Вывод

Таблица 1. Материалы Изолятор

Стеклонаполненный термопластик UL94V-0

Гнездовой контакт

Латунный корпус, берилиево-медный сплав — внутренний механизм

Штыревой контакт

Фосфористая бронза

Покрытие контактов

Несколько вариантов (см. каталог)

Таблица 2. Электрические характеристики 3,3 А max при +25 °C 2,6 А max при +85 °C Токовая нагрузка 3 А max при +25 °C (при нагружении всех контактов) 2,2 А max при +85 °C Рабочее напряжение 800 В DC или AC(rms) (на уровне моря 1013 мбар) Испытытельное напряжение 1200 В DC или AC(rms) (на уровне моря 1013 мбар) Контактное сопротивление (начальное) 20 мОм max Контактное сопротивление 25 мОм max (после выдержки) Сопротивление изоляции (начальное) 1000 МОм min, при 500 В DC Сопротивление изоляции 100 МОм min, при 500 В DC (после выдержки) Токовая нагрузка (на один контакт)

Таблица 3. Механические характеристики

Исследования источников космических нейтрино будут получать новые возможности по мере того, как телескоп AMANDA II будет увеличиваться в размерах с добавлением новых детекторов. По планам телескоп должен вырасти по объему до 1 км 3 льда, и IceCube, как ожидается, обеспечит обнаружение космических источников нейтрино с высокой эффективностью. Harwin в конечном итоге ожидает, что более 4500 пар соединителей серии Datamate будут использованы в антарктических льдах. Для представительства Harwin в США, которое приняло участие в разработке данного проекта, это чрезвычайно престижный заказ, подчеркивающий пригодность серии Datamate в качестве высоконадежных, высокопроизводительных миниатюрных соединителей. n

Количество циклов стыковки/расстыковки

500

Усилие стыковки/расстыковки (для пары контактов)

2,8 Н max, 0,2 Н min (для серии M80-XX) 1 Н max, 0,2 Н min (для серии M83-XX)

Усилие удержания контакта в изоляторе

10 Н min

Удерживающая сила контакта

0,2 Н min

Параметры обжимных контактов

Сигнальные: от 22 AWG до 32 AWG (BS 3G 210 Тип A или MIL-W-16878 Тип ET) Силовые: от 12 AWG до 20 AWG

Таблица 4. Климатические характеристики Климатические испытания

55/125/56 дней при относительной влажности 95%

Рабочая температура

–55…+125 °C

Чувствительность к вибрациям

от 10 до 2000 Гц, 0,75 мм, 98 мм/с2 (10G), продолжительность 6 часов

Ударная тряска

390м/с2 (40G), (4000 ±10) ударов

Воздействие одиночного удара

981м/с2 (100G) в течение 6 мс

Устойчивость к ускорениям

490 м/с2 (50G)

Рис. 7. Соединители Harwin серии Datamate

www.kite.ru


36

новости

разъемы

Круглые миниатюрные разъемы в металлическом корпусе от TE Connectivity • оптимизация высокоскоростной передачи данных, улучшение целостности сигнала, исключение перекрестных помех; • соответствие военным и коммерческим аэрокосмическим требованиям в Gigabit Ethernet, USB 2.0 и совместимость IEEE 1394; • три варианта соединения: push-pull, байонетное и резьбовое; • возможность использования в жестких условиях: герметизация IP67, отдельные уплотнительные кольца на всех контактах, возможность работы в солевом тумане до 120 ч. Технические характеристики: • совместимость с Gigabit Ethernet; • совместимость с USB 2.0; • совместимость с IEEE 1394; • максимальный ток: 2 А; • рабочее напряжение: 200 В AC; • максимальное сопротивление: 30 мОм. • три варианта соединения: push-pull, байонетное и резьбовое; • два типа контактов: штырь-гнездо и пружинные; • четыре группы контактов и размера корпусов: 7 контактов (размер корпуса M11), 14 контактов (размер корпуса M15), 19 контактов (размер корпуса M14) и 30 контактов (размер корпуса M22); • различные варианты монтажа: на печатной плате, крепление на панель, пайка на провод;

• • • • •

герметизация класса IP67; 120 ч в солевом тумане; 2000 циклов соединения-разъединения; рабочая температура: –55…+150 °C; материалы: – корпус: латунь, олово‑никелированный (черный), – вставка: термопласт, – контакты: латунь, с золотым покрытием поверх никеля, – уплотнительное кольцо: фторсиликон. Соединители найдут свое применение в таких сферах, как радиопередающие устройства; переносное оборудование для использования в тяжелых условиях; коммерческая авиация; космические системы; наземные системы связи военного применения; системы связи и компьютерные системы. www.ptelectronics.ru

Реклама

Компания TE Connectivity представляет миниатюрные круглые соединители, которые отвечают самым современным требованиям военных и коммерческих, аэрокосмической систем связи. Соединители совместимы с Gigabit Ethernet, USB 2.0 и интерфейсом IEEE 1394, а использование легкосплавных материалов позволяет экономить место и вес. Полная линейка с различными типами соединения, контактов и вариантами монтажа оптимально подходит для использования в тяжелых условиях, а также для военных и коммерческих решений. Совместимость данной серии с высокоскоростной шиной IEEE 1934 предоставляет возможность соответствовать самым высоким требованиям в коммерческих и военных системах передачи данных, включая высокоскоростной Интернет и USB 2.0, при этом обеспечивая надежность соединения, позволяющую выдерживать вибрации и удары, обладать хорошими электрическими характеристиками, иметь большое количество циклов соединения-разъединения и герметизацию, отвечающую требованиям IP67. Основные преимущества: • гибкие конструктивные решения — на 7, 14, 19 и 30 контактов, размер корпуса M11, M15, M14 и M22, что удовлетворяет требованиям сигнального оборудования, используемого в космической промышленности;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


компоненты

38

разъемы

Герметичные соединители компании Techno

Наталья Сакова natalia.sakova@it-elcom.ru

Введение Компания Techno, основанная в 1986 году в итальянском городе Ганцате, в настоящее время является основным партнером как итальянских, так и крупных международных промышленных предприятий. Компания имеет большой опыт в разработке и изотовлении герметичных соединительных систем (с уровнем защиты IP68), что позволяет ей занимать одну из лидирующих позиций в мире на рынке производства электрических соединителей. Соединительные системы Techno — это высокотехнологичные и инновационные решения в данной области. Вся продукция выпускается по технологии литья пластмасс и резиноподобных пластиков под давлением (рис. 1).

Выбор разъемов и соединительных систем является одной из важнейших задач при разработке того или иного прибора. Развитие различных отраслей промышленности — будь то электроника, электротехника или медицина — требует создания все новых разъемов и соединительных систем. Одним из мировых лидеров в области высоконадежных герметичных соединительных систем, в том числе для внешнего и внутреннего освещения и светодиодных применений, является итальянская компания Techno. Статья посвящена основной продукции этой компании.

• вентиляция; • морской транспорт; • высоковольтное оборудование. В статье рассмотрена продукция Techno по существующим типам: фиксированное соединение, соединение «вилка-розетка», кабельные вводы, клеммные коробки.

Большой выбор типов соединительных систем TEETUBE обусловлен различными потребностями применений данной продукции, количеством соединяемых кабелей. В частности, такие соединения используются в освещении Национальной библиоте-

TEETUBE — цилиндрическая соединительная система Цилиндрические соединительные системы осуществляют качество вашего соединения и обеспечивают уровень защиты IP68. Сама цилиндрическая система включает цилиндрический корпус (короткий либо длинный), вставку на 2, 3, 4, 5, 6 контактов и кабельные вводы в необходимом для данного типа соединителя количестве. Есть несколько типов соединительных систем: I‑, L‑, T‑ и Y‑образные. Данные системы быстры и просты в сборке и подключении (рис. 2–5).

Рис. 4. Y‑образная соединительная система

Рис. 5. L‑образная соединительная система

Рис. 1. Технология литья Рис. 2. I‑образная соединительная система

Герметичные пластиковые соединители выпускают и другие компании-производители, такие как Weipu, но с технологией литья «пластмасса-резина» работает только Techno. Одно из основных отличий соединительных систем Techno — внутренняя клеммная соединительная вставка. Основными применениями соединительных систем являются: • освещение (внешнее и внутреннее); • промышленное оборудование; • наземный транспорт;

Рис. 3. Т‑образная соединительная система

Рис. 6. Библиотека в Бирмингеме

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


разъемы

компоненты

39

Рис. 9. Соединительная система TEEPLUG

Рис. 7. Аэропорт в Омане

ки в Бирмингеме (Великобритания), в аэропорту Омана, на сингапурском стадионе F1 (рис. 6, 7).

TEEPLUG/Mini-TEEPLUG — миниатюрные цилиндрические соединительные системы Эти системы соединений обеспечивают максимальный уровень герметичности IP68. Корпуса и кабельные вводы изготовлены из полимера PA66 V2 UL 94 с высокой температурной и механической стойкостью. Силиконовое кольцо защищает кабельный ввод и обеспечивает герметичность. Соединение «вилка-розетка» легкое, простое и надежное в использовании. В вариантах Mini-TEEPLUG существуют соединения на 2, 3, 4, 5 и 6 контактов. В этой серии соединений компания Techno предлагает несколько новинок. Установка на панель путем фиксации. Данный тип соединения TEEPLUG TH386 обеспечивает уровень защиты IP67. Для панельного соединения разработаны защитные колпачки, которые предохраняют разъемы от попадания внутрь пыли, воды и песка (рис. 8).

Рис. 10. Соединение TEEPLUG TH420

контактов 0,75 мм 2 (ток 6 А, напряжение 230 В) — рис. 9, 10. В 2015 году компания Techo выпустила новинку TEEPLUG в серии TH387 на 5 контактов (рис. 11), что позволяет расширить область применения разъемов. Данная серия соединителей обеспечивает уровень защиты IP68. Рассмотрим разницу уровней защиты IP67 и IP68. Уровень IP67 предусматривает защиту от пыли, от влаги при непродолжительном погружении в воду. Уровень IP68 — это защита от пыли, от влаги при продолжительном нахождении в воде.

Рис. 11. Соединитель ТН387 на 5 контактов

TEEBLOCK — распределительная коробка с клеммными соединениями Существует большой выбор распределительных коробок с клеммными соединениями, предусматривающих различные комбинации для всевозможных применений. Простота, удобство и надежность установки TEEBLOCK с клеммами внутри позволяет быстро и легко подключить кабель без всевозможных скруток (рис. 14, 15).

TEEBOX — распределительные коробки Распределительные коробки компании Techno представляют собой удобное и эргономичное решение для качественной установки разводки кабелей. TEEBOX — это большие распределительные блоки и маленькие распределительные коробочки на 1, 2, 3 и 4 кабельных вывода, поддерживающие уровень защиты IP67/IP68. Корпуса распределительных коробок изготовлены из полимера PA66 V2 UL94, обеспечивающего высокие механические и температурные свойства (рис. 12, 13).

Рис. 14. Клеммная вставка

Рис. 8. Установка разъема на панель Рис. 15. Примеры клеммных соединений

Когда размер соединения имеет значение. Ультратонкое соединение (4 мм) — последнее революционное изобретение, обеспечивающее уровень защиты IP67. Соединение TEEPLUG TH420 — это инновация среди электрических соединений, предназначенное для применения во многих отраслях промышленности. Корпус соединения TEEPLUG TH420 создан из полимера PC FV V2 UL94 с хорошими механическими и температурными характеристиками. TEEPLUG TH420 — 2‑контактное соединение, диаметр

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Рис. 12. Распределительная коробка на 3 выхода

Рис. 13. Распределительная коробка на 4 выхода

TEEDRUM — клеммные соединения Клеммные соединения — это компактное и удобное решение для цилиндрических соединительных систем и распределительных коробок. Все клеммные соединения также изготовлены из высококачественного полимера PA66 FV V0 UL 94 с хорошими механическими и температурными свойствами. Клеммные соединения бывают цилиндрической, кваwww.kite.ru


компоненты

40

разъемы

Рис. 16. Круглое клеммное соединение Рис. 19. Примеры соединительных систем с залитым кабелем

дратной и прямоугольной формы на 2, 3, 4, 5, 6, 2+2, 3+3, 4+4 соединений (рис. 16).

TEEGLAND — кабельный ввод Кабельный ввод обеспечивает уровень защиты IP68 и имеет три разновидности резьбы: М16/PG9, M20/PG13.5, PG16, M25. Рабочий температурный диапазон данной продукции –40…+125 °C (рис. 17).

Рис. 18. Примеры кабельных зажимов

кабельный зажим внутреннего использования серии TH007. Кабельный зажим (фиксатор) TH005 фиксирует кабель по всей длине и устанавливается на несущую поверхность с помощью резьбовых соединений (рис. 18).

Специальные решения

Рис. 17. Кабельные вводы

TEEBOND — кабельные зажимы (аксессуары соединительных систем) Кабельные зажимы серии TH006 могут использоваться в различных ситуациях для предотвращения скручивания кабеля. Кабель вставляется в зажим и защелкивается — это просто и удобно. Компания Techno предлагает

новости

Компания Techno предлагает не только стандартную каталожную продукцию, но и заказные решения. Среди специальных предложений можно выделить кабельную сборку — соединительная система с необходимым заказчику кабелем не просто собрана, она специально герметично залита под давлением. Технология заливки (overmoulding) с кабелем доступна в сериях TH405 и TH384, TH387 (рис. 19).

Заключение Соединительные системы компании Techno отличаются высоким уровнем гер-

метичности, легкостью, простотой сборки и применения. Итальянскую продукцию, зарекомендовавшую себя во всем мире, отличает доступная цена и гарантия высокого европейского качества. Продукцию компании Techno используют мировые лидеры производства оборудования Electrolux, Zanussi, Candy, Merloni и многие другие. Только за последние пять лет компания выпустила свыше 10 новинок своей продукции. Techno имеет все необходимые сертификаты, в частности ISO 9002 (UNI ES ISO 9000), ISO 9001:2008. Широкий выбор соединительных систем, клеммных соединений и возможность заказа специальных решений позволяют выбрать необходимую для определенного применения конфигурацию. n

Литература 1. Сакова Н. Соединительные системы Techno. Сделано в Италии // Электроника НТБ. 2014. № 6. 2. www.techno.it 3. www.it-elcom.ru

разъемы

USB Type C разъемы и кабельные сборки от Molex

Компания Molex представляет USB Type C разъемы и кабельные сборки, которые экономят еще больше места на печатной плате, обеспечивая в то же время высокоскоростную передачу данных в потребительской электронике и в других устройствах. Особенности и достоинства: • поддерживает ток до 5 А, что на 64% сокращает время зарядки по сравнению с micro-USB 2.0, поддерживающим ток до 1,8 А; • конструкция розетки уберегает от повреждений при неаккуратном обращении с разъемом;

• высокотемпературный нейлоновый изолятор уменьшает вероятность пробоя между контактами; • цельнометаллический корпус вилки позволяет легко отсоединить ее от разъемов USB других типов; • майларовая прокладка между контактами и корпусом вилки препятствует их замыканию; • высокая сила прижатия контактов друг к другу обеспечивает низкое переходное сопротивления и уменьшает нагрев контактов;

• поддерживает стандарты скорости передачи данных USB 2.0 и USB 3.1. Кабельные сборки совместимы с розетками USB Type-C (серия 105450) и USB 2.0 Type-A (серия 105057 и 48416). Области применения: • Вычислительные/телекоммуникационные/сетевые устройства — центры обработки данных, серверы и рабочие станции, ПК, ноутбуки, планшеты, роутеры, свитчи, концентраторы. • Потребительская электроника — смартфоны, цифровые камеры, мобильные зарядные устройства, док-станции, адаптеры и электронные ключи, домашние развлекательные системы, игровые устройства, бытовая техника. • Автомобильная промышленность — развлекательные системы, зарядные устройства, работающие от прикуривателя. • Промышленность — охранные системы, дроны, офисное оборудование, развлекательные системы на борту самолетов. www.ptelectronics.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


новости

41

Новости сайта www.efo.ru Neoway

Altera

Представлен новый недорогой 3G-модуль N703 с максимальной скоростью приема 3,6 Мбит/с, передачи — 384 кбит/с, интерфейсами UART и USB 2.0. Модуль выполнен в корпусе LCC 28×38×3 мм, образцы и отладочные платы доступны для заказа.

Вышло обновление Update 2 для версии 15.1 пакета проектирования Quartus Prime Design Suite. Обновления коснулись Quartus Prime, Altera OpenCL SDK, DSP Builder и JNEye — средства анализа высокоскоростных линий связи. В Quartus Prime 15.1 Update 2 расширена поддержка семейств MAX 10 и Arria 10: • добавлена поддержка микросхем 10M04SAE144C8G, 10M04SAE144I7G, 10M04SAU169I7G и 10M16SAE144I7G; • добавлены окончательные временные модели всех микросхем семейства MAX 10; • добавлена возможность генерации конфигурационного файла для микросхем 10AX048 и 10AS048 (инженерные образцы) семейства Arria 10; • устранены проблемы, связанные с разводкой в микросхемах 10AX115, 10AX048 и 10AS048. Обновлены IP-ядра: Altera Soft LVDS, Arria 10 10GBASE-KR Ethernet PHY, DisplayPort, HDMI, SDI II, JESD204B, Low Latency Ethernet 10G MAC, Low Latency 40GBASE-KR4 Ethernet PHY и другие. Исправлены выявленные ошибки и реализован ряд улучшений во многих программных средствах пакета проектирования Quartus Prime Design Suite.

Peregrine Выпущен UltraCMOS-смеситель PE4152 для полосы частот 100–1000 МГц, имеющий дифференциальные входы LO/RF/IF и функцию отключения буферного усилителя гетеродина. Благодаря этому рабочий диапазон по входу гетеродина (LO) составляет –10…+23 дБм. По сигнальному входу (RF) максимальная входная мощность 2 дБм. Потери преобразования составляют 6,5–7,5 дБ, IIP3 = 25 дБ, IIP2 = 50–52 дБ. Смеситель PE4152 предназначен для использования в приемопередающей аппаратуре и сотовой связи. Микросхема выполнена в корпусе QFN‑20 размером 4×4 мм и имеет защиту от электростатики HBM 1000 В.

Silicon Labs Для разработчиков IoT-решений компания предлагает новую линейку беспроводных однокристальных микросхем семейства Wireless Gecko EFR32, представляющих собой микроконтроллер с ядром ARM Cortex-M4, который имеет набор DSP-инструкций и арифметический сопроцессор (FPU), а также встроенный приемопередатчик, предназначенный для работы в частотном диапазоне 2,4 ГГц. У всех микросхем малое энергопотребление (63 мкА/МГц в активном режиме). Есть модели со встроенным усилителем выходной мощности радиосигнала до +19,5 дБм (~85 мВт) для приложений, где необходим увеличенный радиус действия сети. Особенностью линейки EFR32 является возможность использования технологий Bluetooth Smart (BLE), ZigBee, Thread, а также проприетарных протоколов, без изменения базовой микросхемы. Линейка микросхем EFR32 Wireless Gecko подходит для применения в приложениях домашней автоматизации, управления освещением и снятия показаний со счетчиков.

Broadcom* Анонсирован выпуск новых двунаправленных цифровых оптронов ACFL‑6211U и AFCL‑6212U, передающих сигналы во встречных направлениях по двум независимым каналам со скоростью 15 и 10 Мбит/с соответственно. Устройства отличаются высокой устойчивостью к синфазным помехам (CMR) и малой задержкой распространения сигнала. Благодаря широкому температурному диапазону –40…+125 °C и усиленной изоляции R2Coupler (технология Avago) новые оптроны отлично подходят для эксплуатации в экстремальных условиях.

Texas Instruments Выпущен новый датчик относительной влажности HDC1080 с улучшенной точностью. Кроме относительной влажности, прибор обеспечивает измерение температуры, при этом имеет очень малое энергопотребление. Передача данных производится при помощи I2C-интерфейса. Датчик выпускается в корпусе 6WSON, доступны образцы.

Infineon Technologies Расширено семейство µHVIC новыми драйверами низкого и высокого напряжения: IR44252L, IR44272L и IR44273L. В драйверах этого семейства используется технология высоковольтной изоляции с помощью p‑n‑перехода (HVJI). Особенности: • компактный корпус с 5 выводами SOT23; • совместимы с другими компонентами семейства µHVIC; • широкий диапазон питающих напряжений Vcc 5–20 В; • вход разрешения драйвера (IR44272L); • втекающие и вытекающие токи 300 и 550 мА для IR44252L, 1,7/1,5 А для IR44272L и IR44273L соответственно; • защита от снижения питающего напряжения (UVLO); • средняя задержка включения/выключения 50 нс; • среднее время нарастания и спада сигнала до 10 нс (IR44273L, IR44272L); • CMOS-входы с защитой от дребезга (триггер Шмитта по входам); • диапазон рабочих температур –40…+ 125 °C. * В феврале 2016 года компания Avago завершила приобретение компании Broadcom Corporation. Объединенная компания получила название Broadcom Limited.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

WIZnet Компания выпустила новый специализированный микроконтроллер W7500P на базе ядра Cortex-M0 с аппаратной реализацией стека TCP/IP. Он является логическим развитием W7500 и представляет собой однокристальное решение для создания различных устройств, обеспечивающих подключение к сетям, выполненным в стандарте 10/100Base-TX. Особенность микросхемы — аппаратный блок на кристалле, реализующий физический уровень Ethernet. Для включения W7500P требуется добавить только MAG Jack (то есть разъем RJ‑45 с встроенными трансформаторами) и два кварцевых резонатора на 8 и 25 МГц. Для быстрого начала работы с микросхемами W7500 и W7500P компания WIZnet изготавливает оценочные платы WIZwiki-W7500 и WIZwiki-W7500P, совместимые с широко распространенной платформой Arduino.

Riverdi Начато производство 5″ TFT-дисплеев в исполнении uxTouch. Отличие дисплеев uxTouch состоит в том, что стекло емкостного сенсорного экрана выполняет две функции. Оно является несущей конструкцией для модуля, для чего с обратной стороны стекла по его краям приклеен двухсторонний скотч марки 3M 9495LE. А его оригинальное оформление позволяет обойтись без внешних декоративных элементов. Для заказа доступны две модели: RVT50UQTNWC0x с интерфейсом RGB и RVT50UQFNWC0x на базе контроллера FT813 с интерфейсом SPI/QSPI. Основные технические характеристики дисплеев: Модель

Яркость, кд/м2

Разрешение

Внешние размеры, мм

Размеры видимой области, мм

Рабочий диапазон температур, °С

RVT50UQTNWC0x RVT50UQFNWC0x

510

800×480

136×92,8×5 136×92,8×9,2

108×64,8

–20…+70

Atmel Выпущены новые энергоэффективные 8‑разрядные микроконтроллеры ATtiny102/104, выполненные по технологии Atmel PicoPower. Их отличает набор современных периферийных узлов, которые раньше можно было увидеть лишь у более продвинутых микроконтроллеров, таких, например, как 10‑разрядный АЦП последовательных приближений со скоростью преобразования 15 квыб./с, калиброванный источник опорного напряжения (три фиксированных уровня 1,1; 2,2; 4,3 В), сторожевой таймер с собственным генератором 128 кГц. Новые бюджетные изделия выпускаются в стандартных корпусах SOIC8/14 и ультракомпактном корпусе UDFN8 размерами 2×3 мм. Рабочий температурный диапазон составляет –40…+105 °C или –40…+125 °C, напряжение питания 1,8–5,5 В. В качестве средств поддержки разработки начального уровня компания Atmel также представила отладочный набор Xplained Nano — миниатюрную плату ATTINY104‑XNANO. Она дает возможность получить доступ ко всем линиям ввода/вывода микроконтроллера, а также может использоваться как внешний программатор для других микроконтроллеров семейства tiny AVR. Санкт-Петербург, ул. Новолитовская, д. 15, лит. А, бизнес-центр «Аквилон», офис 441; (812) 327-86-54; e-mail: zav@efo.ru.

www.kite.ru


42

компоненты

разъемы

Glenair: качество, надежность, инновации Николай Щирица, к. т. н. temwell@vital-ic.com

G

lenair — компания, сильными сторонами которой являются система качества ISO 9001, быстрый оборот капитала в процессе изготовления и продажи продукции, хорошая поддержка потребителей и широкий перечень электрических соединителей и сопутствующих товаров. Сегодня компания производит тысячи стандартных и специального назначения конструкций разъемов, кожухов, защитные электрические экраны, жгуты кабелей, компактные блоки преобразования интерфейсов и другую продукцию. Изделия компании стойки к воздействию окружающей среды, к вибронагрузкам и давлению. Они хорошо герметизированы и экранированы от электромагнитных помех, термостойки и легки. Инженерный штат компании обладает обширными знаниями и опытом для создания технических решений любой сложности — от соединителей общего применения до высокотехнологичных разъемов независимо от того, насколько требовательным может быть заказчик. В отечественной технической литературе уже сообщалось о некоторых существенных достижениях компании [1]. В настоящем обзоре мы рассмотрим предлагаемые Glenair новые образцы техники электрических и волоконно-оптических соединений.

Соединители серии 970 PowerTrip Соединители серии 970 предназначены для передачи больших токов в условиях агрессивных внешних воздействий. У этих соединителей по сравнению с соединителями низкой плотности типа 5015 снижены габариты и масса. В соединителях серии 970 PowerTrip применены электрические контакты новой конструкции. Основная их особенность состоит в том, что в гнездовом контакте установлена свернутая в кольцо пружинящая полоска из медного сплава высокой электропроводности (рис. 1а). На полоске выштампованы многочисленные дугообразные контактирующие элементы. В изначальном, несвернутом положении пружина с контактирующи-

Научно-производственная компания Glenair Inc. работает в г. Глендэйле (США, Калифорния) с 1956 года. Сейчас она предлагает множество типов соединителей, включая применяемые в промышленной, военной и космической аппаратуре, а также на транспорте.

ми элементами внешне напоминает штору жалюзи, отчего конструкторы назвали ее LouverBand («полоска жалюзи»). Ответный штыревой контакт имеет обычную форму цилиндрического стержня (рис. 1б). Сравнительная проверка показала, что контактная система PowerTrip превосходит аналоги по качеству соединения и износостойкости. Пружина гнезда создает несколько точек контакта с ответным штырем. Так, в гнезде размера #8 имеется 18 точек, в гнезде #4 предусмотрено 27 точек, и в гнезде #1/0 — 42 точки контакта. Малое переходное сопротивление контакта соответственно уменьшает его нагрев. Кроме того, контакт LouverBand обладает хорошими механическими характеристиками, обеспечивая в широком диапазоне рабочей температуры (–65…+200 °C) плотное прилегание пружины, даже после 2000 соединений. Токовая нагрузка разъема PowerTrip и его переходное сопротивление соответствуют спецификации AS39029. Тестирование контактов PowerTrip показало, что их контактное сопротивление (или падение напряжения под токовой нагрузкой) вдвое меньше значения, допустимого по спецификации AS39029. Другая особенность соединителей серии 970 PowerTrip заключается в применении контактов различной длины, что обеспечивает поочередное включение силовых и слабо-

точных цепей. При соединении вилки с розеткой контакты малых размеров #12 и #16 замыкаются позже силовых, а при разъединении размыкаются раньше. Полезной особенностью описываемых соединителей является наличие встроенных емкостных фильтров, ослабляющих высокочастотные помехи (на частоте 10 МГц — 33–40 дБ). Экранирующая способность корпуса составляет 65–90 дБ на частотах 100 МГц – 10 ГГц. По остальным параметрам соединители данной серии, изготовленные компанией Glenair, подобны широко известным соединителям MIL-DTL‑38999. Более десяти подсерий соединителей 970 PowerTrip представляют собой кабельные и блочные вилки и розетки, отличающиеся техническими характеристиками, механизмом сочленения и областью применения. Компания предлагает на выбор различные конфигурации контактных схем, несколько видов корпусов и контакты пяти размеров с допустимым током 13–175 А. Комбинации расположения пяти ключевых выступов обеспечивают шесть вариантов соединения ответных частей. В сочлененном положении разъема соединительная гайка удерживается пружинным храповым механизмом, препятствующим ослаблению крепления под действием вибрации. В таблице 1 представлены основные материалы, примененные в соединителях данной серии. Таблица 1. Материалы соединителей серии 970 PowerTrip

а

б

Рис. 1. Внешний вид гнездового и штыревого контактов

Корпус, накидная и прижимная гайки

Алюминиевый сплав или нержавеющая сталь

Гнездовой и штыревой съемные контакты

Высокопроводящий медный сплав с серебряным или золотым покрытием

Гнездовой и штыревой несъемные контакты герметичного соединителя

Железо-никелевый сплав с серебряным или золотым покрытием

Изолятор

Полимерная масса на основе эпоксидной смолы с наполнением стекловолокном

Изолятор герметичного соединителя

Стекло

Клипса, удерживающая контакт в изоляторе, и пружинка LouverBand

Бериллиевая бронза

Герметизирующие прокладки

Фторсиликоновая резина

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


разъемы

компоненты

43

Рис. 2. Пара соединителей серии 970 PowerTrip, показанных в разрезе

Вид пары соединителей (кабельных розетки и вилки) в разрезе показан на рис. 2.

Волоконно-оптические соединители Eye-Beam Для многих волоконно-оптических соединителей проблемой становится их защита от влияния окружающей среды. В частности, когда передача данных происходит по одномодовому волокну с диаметром сечения 9 мкм, то присутствие даже одной пылинки на торцевой поверхности обычного оптического наконечника может полностью нарушить работу канала обмена. Требуемую чистоту поверхности контактирующих наконечников соединителя легко обеспечить в условиях незагрязненной окружающей среды. Но, например, в технике военной связи трудно защитить оптические соединители от пыли и влаги, поскольку надо быстро проложить волоконно-оптический кабель в полевых условиях. Предлагаемая компанией Glenair система Eye-Beam использует расширение луча оптического волокна в 27 раз, благодаря чему решает эту задачу и повышает надежность соединений. На рис. 3 показана оптическая схема наконечников. Внешний

вид пары наконечников Eye-Beam представлен на рис. 4. В оптический наконечник Glenair EyeBeam встроена линза, расширяющая исходящий из волокна луч. Применение широкого луча устраняет необходимость периодической очистки соединителя, а также позволяет делать многократные соединения и разъединения. Затухание, вносимое наконечником Eye-Beam в передаваемый сигнал, невелико — не более 0,5 дБ. Конструкция наконечника выполнена с расчетом обеспечить возможность встраивания его в корпуса различных широко распространенных соединителей — цилиндрических и прямоугольных вилок и розеток, а также симметричных соединителей типа Glenair GFOCA (не имеющих конструктивных признаков вилки или розетки). Рассматриваемые нами наконечники используются при изготовлении в заводских условиях кабельных сборок по заявке потребителя. Внешний вид такой сборки показан на рис. 5. Основные характеристики кабельных сборок представлены в таблице 2. Стандартная длина сборки составляет 91 см, но по заявке потребителя могут сделать сборку другой длины.

Рис. 6. Пара соединителей серии 80 Mighty Mouse с наконечниками Eye-Beam

В качестве примера на рис. 6 показан внешний вид пары соединителей серии 80 Mighty Mouse с наконечниками Eye-Beam.

Заключение В своей нынешней деятельности компания Glenair продолжает инвестировать значительные средства в производственное оборудование, технологическую оснастку и исследования. Компания использует лучшие инженерные способности своих сотрудников, чтобы разрабатывать новые технологии соединений — электрических, оптических и пневматических. Как правило, изделия Glenair Inc. в эксплуатации редко отказывают — где бы их ни применяли, — и подтверждают тем самым высокую репутацию изготовителя. n

Литература 1. Ефремов В. Соединители Glenair. Надежное решение для авиационного и космического приборостроения // Электроника: НТБ. 2011. № 2.

Рис. 4. Пара оптических наконечников Eye-Beam

Рис. 3. Оптическая схема наконечников: 1 — обычный наконечник; 2 — наконечник Eye-Beam

Таблица 2. Основные характеристики кабельных сборок Тип оптоволоконного кабеля

одномодовый 9,3/125 многомодовые 50/125 или 62,5/125

Рабочая длина волны

850, 1300 или 1550 нм

Вносимое затухание сигнала

не более 2 дБ

Тип соединителя, в который устанавливается наконечник Eye-Beam

MIL-DTL-38999 Series III, Series 80 Mighty Mouse, MIL-PRF-28876, Glenair GFR System, GFOCA

Тип соединителя на противоположном конце кабельной сборки

штырь или гнездо для MIL-DTL-38999 Series III и Series 80 Mighty Mouse, GFR, GFOCA, LC, FC, ST, SC, SMA

Диапазон рабочей температуры

–40…+85 °C или –55…+125 °C

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Рис. 5. Кабельная сборка с наконечником Eye-Beam: 1 — оптический наконечник Eye-Beam; 2 — стандартный оптоволоконный соединитель

www.kite.ru


44

новости

комутаторы

Промышленный коммутатор IPES‑0104GT‑4 для железнодорожного транспорта от Lantech

Реклама

от перегрузки по току. Для оповещения о сбоях в сети питания IPES‑0104GT‑4 использует релейный контакт с системной функцией тревоги. Простое и быстрое подключение IP-камер, сетевых видеорегистраторов и другого оборудования системы безопасности коммутаторы IPES‑0104GT‑4 осуществляют через четыре 10/100‑Мбит порта Ethernet/Fast Ethernet с функцией автоопределения полярности Auto MDI/MDI-X. Благодаря этому новый коммутатор Lantech предоставляет возможность использовать для приема/передачи видеопотоков и данных прямые и кросс-сетевые кабели. Пропускная способность новинок с коммутационной архитектурой Store-and-Forward составляет 2,8 Гбит/с, а распределение потоков в сети может осуществляться в полудуплексном режиме по методу «противодавления» (Back Pressure) или в полнодуплексном режиме (Flow Control) с непрерывной трансляцией данных и минимальной задержкой трафика. Электропитание периферийных PoE-совместимых IP-устройств через коммутаторы IPES‑0104GT‑4 реализовано по технологии High Power over Ethernet (PoE+) в соответствии со стандартом IEEE 802.3at/af через четыре встроенных PoE-инжектора. При этом общий PoE-бюджет новинок зависит от используемого ими источника питания. Так, 12‑В коммутатор может раздавать на все потребители одновременно не более 80 Вт, в то время как 48‑В — до 120 Вт. При этом на один порт может выделяться максимально до 30 Вт в зависимости от энергопотребления подключаемого к сети устройства. Этого достаточно, например, для питания мощных PTZ-камер, установленных на улице. www.armosystems.ru

Реклама

В продуктовом портфеле компании Lantech Communications появились неуправляемые Ethernet-коммутаторы IPES‑0104GT‑4, которые предназначены для организации системы видеонаблюдения на подвижных составах железнодорожного транспорта и различных промышленных объектах. Устройства имеют четыре порта 10/100TX, один гигабитный порт и четыре инжектора питания PoE IEEE 802.3at/af для подачи электроэнергии на подключенные IP-камеры и NVR. Кроме того, Lantech IPES‑0104GT‑4 снабжен резервируемым питанием, металлическим корпусом с классом IP30, соответствует промышленным стандартам, а его энергопотребление не превышает 6 Вт. Промышленное исполнение с классом защиты IP30 позволяет устанавливать новые коммутаторы Lantech на объектах с различными условиями окружающей среды. При этом они достаточно компактны и могут размещаться открыто либо в ограниченном пространстве серверного шкафа и предусматривают простой настенный монтаж или с помощью DIN-рейки. Из моделей серии IPES‑0104GT‑4 можно выбрать коммутатор, который будет стабильно функционировать в одном из двух температурных диапазонов: –20…+60 °C и –40…+75 °C (с индексом -E) — и оставаться работоспособным даже в случае постоянных вибраций, а также электромагнитных и механических воздействий. Благодаря этому IPES‑0104GT‑4 станет оптимальным выбором для Ethernet-сети на индустриальных объектах и железнодорожном транспорте. Для эксплуатации на подвижных железнодорожных составах и других транспортных средствах предназначены коммутаторы IPES‑0104GT‑4-12V и IPES‑0104GT‑4-12V-E с питанием от бортовой сети 12 В, а для работы на промышленных объектах — IPES‑0104GT‑4-48V и IPES‑0104GT‑4-48V-E, рассчитанные на питание от источника 48 В DC (45~56 В DC). При этом каждый коммутатор серии поддерживает резервируемое питание, обеспечивает защиту от электростатического разряда (EFT) до 2000 В DC и Ethernetинтерфейсов (ESD) до 6000 В DC, а также защищает оконечное оборудование

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


46

компоненты

установочные элементы

Стандарт. Разнообразие. Индивидуальность. Компоненты для связи между человеком и техникой от фирмы EAO AG

Дмитрий Иоффе dsioffe@yandex.ru

П

родукция швейцарской фирмы EAO AG www.eao.com позволяет человеку управлять техникой. Это кнопки, выключатели, индикаторы, панели управления. Может показаться, что здесь нет ничего особо интересного — просто кнопка, не суперкомпьютер. Но справедливо написано на сайте EAO: «Это очень важно. За каждым взаимодействием человека и машины лежат необходимость, история, задание, желание и цель. Они так же разнообразны, как и требования к эксплуатации машин. Выразить непосредственный момент взаимодействия и сделать его инновационным, интуитивным и надежным — это наша задача, наше кредо и наше увлечение». Если впервые зайти на сайт фирмы EAO в раздел продукции и решений, то поражает разнообразие тех самых «просто кнопок» и других элементов человеко-машинного интерфейса. Это «простые» кнопки, кнопки с подсветкой, выключатели для аварийной остановки, выключатели с замками, рубильники, джойстики, потенциометры, поворотные переключатели (также с подсветкой и без), световые индикаторы… Все это — результат уже почти семидесяти лет постоянной работы по созданию высококачественной продукции для самых разных областей применения и ее совершенствованию. Особую популярность компоненты фирмы EAO AG завоевали благодаря своей надежности и приспособленности для работы в тяжелых условиях эксплуатации. Для них нормируется не только широкий диапазон рабочих температур, но и устойчивость к ударам и вибрации. Сочетания параметров стойкости к воздействию окружающей среды позволяют сертифицировать каждое изделие для конкретных областей примене-

Швейцарская семейная фирма EAO AG была создана в 1947 году. Ее основная специализация — компоненты и системы для человеко-машинного интерфейса. Компания входит в число мировых лидеров как производитель промышленных выключателей, заказных панелей управления и специализированных систем коммутации для разных видов транспорта.

ния. Следует особо отметить, что параметры стойкости изделий ЕАО, в отличие от многих других аналогичных товаров, широко представленных на рынке, подтверждены сертификатами, которые позволяют применять эти изделия в ответственных случаях. Многие изделия имеют сертификаты регистра Ллойда для использования в судостроении и европейские сертификаты для железнодорожного транспорта. Есть и российские сертификаты соответствия, а также накоплен большой опыт применения компонентов фирмы в российских условиях: бренд EAO представлен в России с 1995 года. Рассмотрим, например, раздел описания условий окружающей среды (Environmental conditions) в справочных данных на выключатели повышенной надежности (Failsafe Switching Element) серии 04: • температура хранения: –40…85 °C; • диапазон рабочих температур: –40…85 °C; • устойчивость к ударному воздействию с ускорением 300 м/с2 при длительности импульса 11 мс в соответствии со стандартом EN IEC 60068-2-27; • устойчивость к вибрации: 100 м/с2 с частотой 10–500 Гц, амплитудой 0,75 мм в соответствии со стандартом EN IEC 60068-2-6; • аттестованы на соответствие документам UL, CB (IEC 60947), CCC, CE, CSA, Germanischer Lloyd, ГОСТ, NFF 16-102. Все это позволяет применять продукцию компании EAO AG в наиболее ответственных областях. Фирма предлагает использовать свои изделия в следующих основных отраслях: • железнодорожный транспорт; • судостроение; • общественный транспорт; • авиация; • машиностроение;

• автомобили повышенной проходимости и специальные автомобили; • подъемно-транспортное оборудование; • панели управления; • медицинские и метрологические лаборатории; • объекты строительной инфраструктуры; • телекоммуникации; • аудиовизуальная индустрия; • энергетика; • и многие другие области, где взаимодействуют люди и машины. Отдельное направление работы EAO AG — коммутирующие изделия для автомобильной промышленности (рис. 1). Среди них есть как отдельные выключатели, так и блоки выключателей. Помимо надежности, обязательной для автомобильной промышленности, они имеют современный элегантный дизайн, который способствует безопасности движения, комфорту и интуитивному управлению. Типичные случаи автомобильного применения: • выключатели освещения в салоне автомобиля; • пристяжные ремни; • датчики положения; • индикаторы активации ручного тормоза.

Рис. 1. Автомобильный блок переключателей

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


установочные элементы

Рис. 2. Примеры компонентов Series 57

Компоненты интерфейса от ЕАО AG можно использовать также для оборудования объектов транспортной инфраструктуры. Вот несколько примеров: • антивандальные системы доступа и терминалы аварийного вызова; • системы человеко-машинного интерфейса для мониторинга терминалов аварийного вызова; • светодиодные информационные системы для метро, автобусных и железнодорожных станций и аэропортов; • интуитивно понятные компоненты и системы человеко-машинного интерфейса для пассажиров и обслуживающего персонала. Характерная особенность EAO AG — комплексный подход при разработке изделий. Потребителю предлагаются не разрозненные изделия, а целые семейства изделий, рекомендуемые для конкретных областей применения: для железнодорожного и морского транспорта, для управления станками и многого другого, в том числе и для работы в тяжелых условиях эксплуатации. В то же время ничто не мешает использовать эти компоненты по отдельности. Посмотрим, например, на линейку продукции под названием «Серия 57» (Series 57, рис. 2). Изготовитель рекомендует эту серию как «все в одном» для открывания дверей. Конечно же, это не «просто кнопки», и не только кнопки. В Series 57 входят собственно кнопки (например, рис. 2, второй слева компонент), простые индикаторы, индикаторы тревоги (рис. 2, левый компонент) и тревожные кнопки (рис. 2, правый компонент). Особенности серии: • очень большая рабочая область (диаметр 74 мм); • выступающие подсвеченные символы в соответствии со стандартами TSI PRM (Technical specifications for interoperability Persons with reduced mobility) и ADA (Americans with Disability Act) для людей с ограниченными возможностями; • встроенный зуммер для слабовидящих; • две отдельные кольцевые области с независимой подсветкой для обратной связи; • единый стиль внешнего оформления и восприятия у всех изделий серии. Компоненты спроектированы так, что для их монтажа на объекте не требуется специ-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

компоненты

47

Рис. 3. Несколько компонентов серии 84

альный инструмент. Это экономит время и деньги заказчика. Из элементов Series 57 можно компоновать интерфейсы систем управления дверями, а можно использовать их по отдельности в различных пультах и другом оборудовании. У них элегантный внешний вид, и помещения, где они установлены, выглядят современными и даже «модными». Авторы сопровождают описание Series 57 девизом “Feel the fascination” — «Почувствуйте очарование»! Итак, изделия EAO AG выпускаются сериями. Компоненты внутри каждой серии имеют одинаковые или схожие установочные размеры и общий стиль оформления. Для каждой серии фирма рекомендует одну или несколько областей применения. В состав серии могут входить от трех до десятков изделий. Кроме того, в составе каждой серии предлагаются так называемые аксессуары. Это могут быть отдельные детали изделий, например запасные части, детали, облегчающие установку устройств, прозрачные пленки с маркировкой, а также инструменты и приспособления для монтажа. На сайте фирмы есть специальный раздел HMI Product Series со списком всех серий. В этом разделе для каждой серии приводятся ее основные конструктивные параметры и области применения. Рассмотрим еще одну из новых серий компонентов от фирмы EAO AG — Series 84 (рис. 3). Она предназначена для применения в разнообразных условиях эксплуатации, в том числе достаточно сложных. В состав серии входят: • индикаторы; • кнопки с подсветкой и без; • выключатели; • кнопки аварийной остановки; • различные аксессуары и запасные части. Наружные части компонентов Series 84 имеют степень защиты до IP67 включительно. Опционально возможна также защита IP67 с тыльной стороны. Элементы Series 84 рассчитаны на 1 млн рабочих циклов, устойчивы к ударам и вибрации. Небольшой установочный размер 22,5 мм и очень малая глубина монтажа позволяют устанавливать эти изделия в плоских и узких корпусах. Кнопки и индикаторы расположе-

ны заподлицо с поверхностью, а выключатели и кнопки аварийной остановки сделаны выступающими. Конструкция компонентов позволяет устанавливать их на печатную плату. В Series 84 предусмотрены также новые переключающие элементы Halo Compact (рис. 4). Один такой элемент может отображать до восьми различных заранее заданных состояний при помощи световых индикаторов пяти разных цветов. Эти состояния могут быть такими: • «полная иллюминация» — светятся все светодиоды; • мерцание с интервалом 1 с; • вращение/погоня (один полный оборот в секунду); • процесс (переключение группами по четыре светодиода каждую секунду). Таким образом, пользователь может контролировать несколько различных параметров или реакцию системы на последовательные нажатия при помощи только одного выключателя. Кроме того, на кнопках и выключателях могут быть подсвеченные символы или текст. Элементы Halo Compact можно объединять в панели (рис. 5), получая многофункциональные и очень красивые управляющие устройства. Среди аксессуаров Series 84 можно выделить разъем USB 3.0 для монтажа на приборную панель (рис. 6). Прочный пластмассовый корпус удобен для монтажа, и в сочета-

Рис. 4. Переключающий элемент Halo Compact: устройство и внешний вид

www.kite.ru


48

компоненты

Рис. 5. Панель с элементами Halo Compact

Рис. 6. Разъем USB 3.0 для монтажа на приборную панель

нии с опциональной защитной крышкой он может обеспечить степень защиты до IP65.

установочные элементы

Рекламный слоган на справочном листке Series 84 гласит: “Attractive, versatile and functional” («Привлекательность, гибкость и функциональность»). Видимо, не случайно здесь привлекательность поставлена на первое место. Для фирмы EAO AG характерно большое разнообразие выпускаемого ассортимента продукции, как за счет широкой номенклатуры, так и за счет богатого выбора разнообразных опций. Вот некоторые примеры: • собственно номенклатура: кнопки простые, грибовидные, с подсветкой и без, с фиксацией и без нее, для аварийной остановки, индикаторы непрерывного свечения и мигающие, квадратные и круглые, зуммеры, переключатели рычажные и поворотные, которые тоже могут быть с подсветкой, переключатели с замками под ключ и без ключа, потенциометры — и это еще неполный список; • различная форма сечения для установки в приборную панель: круглая, квадратная, прямоугольная; • различные виды установки: заподлицо с панелью (flush mounting), выступающие из панели (raised mounting), для монтажа на печатную плату;

• разные материалы покрытия контактов, рассчитанные на разные величины токов; • существуют исполнения для коммутации микротоков, которые удобно применять в различных приборах; • исполнения для переменного и постоянного тока; • существуют коммутационные изделия на несколько групп контактов, например, до пяти нормально-замкнутых и пяти нормально-разомкнутых в актуаторах 935-.401-00. Иллюстрации этого разнообразия можно увидеть на рис. 7–10. Более того, заказчик может самостоятельно скомпоновать изделие под свои нужды прямо на сайте фирмы. На рис. 11 представлен работающий на сайте интерактивный инструмент для комплектования вышеупомянутого актуатора 935-.401-00 при заказе. Красным цветом показаны детали, которые можно выбрать в соответствии с требованиями конечного изделия. Если щелкнуть мышью по такой детали, откроется список для выбора. Под полем Select necessary components находится ссылка Select optional accessories. Если перейти по ней, то можно выбрать опциональные элементы и запасные части для поставки.

Рис. 7. Кнопка, поворотные переключатели и зуммер из серии 14. Видно, что элементы различного назначения имеют одинаковые присоединительные размеры и глубину установки

Рис. 8. Переключатели из нержавеющей стали с замками под ключ

Рис. 9. Кнопки аварийной остановки

Рис. 10. Примеры применения компонентов EAO AG в различных конструктивах

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


установочные элементы

Рис. 14. Интерактивный видеоролик с описанием кнопки Series 57. В данный момент демонстрируется последовательность монтажа

Рис. 13. Туалет пассажирского поезда: стиль EAO AG

Рис. 11. Выбор комплектации для актуатора 935-.401-00

На сайте компании есть также еще один инструмент, помогающий потребителю ориентироваться в продукции EAO AG, — интерактивный каталог Online Product Configurator. Он помогает выбрать нужную продукцию в соответствии с областью применения (раздел Markets), назначением (Functions, например, найти кнопки, зуммеры или потенциометры) или принадлежностью к серии (Series). Можно также искать изделия по их отдельным свойствам или компонентам (раздел Product Finder). Например, найти поворотные переключатели с напряжением коммутации до 250 В для установки в отверстия диаметром 16 мм. При каждой установке очередного критерия поиска Product Finder отображает количество изделий, соответствующих вашему запросу, и показывает обзорный список этих изделий с их изображениями и основными параметрами. Механизм Product Finder работает также при поиске внутри других разделов. Отметим, что на момент написания этой статьи (февраль 2016 года) Product Finder предлагает на выбор 11608 изделий! Как мы уже отметили, серии элементов человеко-машинного интерфейса в EAO AG проектируются комплексно, и состав отдельно взятой серии или нескольких серий позволяет

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

49

решать типовые задачи для различных областей применения. Специалисты компании могут проконсультировать клиента по выбору оптимального набора компонентов для конкретного случая или спроектировать под заказ всю систему управления, вплоть до разработки программного обеспечения и изготовления опытных образцов. На сайте фирмы есть характерный пример: оборудование для пассажирского железнодорожного состава. Изделия фирмы EAO AG позволяют создать законченные системы управления повсюду, где они требуются, начиная от кабины машинистов (рис. 12) или системы доступа пассажиров и заканчивая туалетами (рис. 13). В заключение отметим, что на сайте фирмы www.eao.com представлена подробная документация на всю ее продукцию: спецификации, конструкторские чертежи, электрические

схемы и многое другое. Есть даже интерактивное видео с описаниями и инструкциями по монтажу (Interactive produkt demo, рис. 14). Общаться с этими видеороликами — отдельное удовольствие, настоятельно рекомендуется попробовать. По специальному запросу могут быть высланы 3D-модели. Регулярно выпускается журнал “EAO in Touch” с описанием новинок от EAO. Этот журнал в формате PDF также можно найти на сайте компании. Для удобства поиска нужной информации на сайте предусмотрены многочисленные фильтры. Например, можно запросить всю документацию на какую-либо серию или же искать только изделия, сертифицированные для конкретной области применения. Компания EAO AG — это более шестисот преданных служащих, глобальное производство и дистрибьюторская сеть. Фирма имеет производственные площадки в Швейцарии, Германии, Северной Америке и Китае, а также владеет одиннадцатью международными торговыми компаниями более чем в 50 странах. Поэтому EAO AG может гарантировать доступность своей продукции по всему миру и в любое время суток. n Авторизованный дистрибьютор компании EAO AG в России — ООО «НТ контакт» www.ntcontact.ru.

Реклама

Рис. 12. Кабина машинистов железнодорожного локомотива с элементами человеко-машинного интерфейса от EAO AG

компоненты

www.kite.ru


50

новости

процессорные модули

Процессорный модуль SF-BT1 от «Т‑Платформы» — ключевой элемент экосистемы разработки устройств на базе российских процессоров «Байкал-Т1»

боры и многое другое. Большинство таких систем сегодня постоянно подключены к сети Интернет, и аппаратная поддержка виртуализации на процес-

соре позволит значительно повысить степень их защиты. Компактные процессорные модули SF-BT1, выполненные на базе спецификации SMARC v.1.1, работают в составе платформы ЧПУ семейства «Ресурс» и других новых продуктов «Т‑Платформ» на основе процессоров «Байкал-Т1». С нынешнего дня к заказу доступны комплекты разработчика, которые включают процессорные модули с объемом памяти 2 Гбайт, программные средства разработки устройств на его основе, подробную техническую документацию и тестовую плату-носитель. Модуль предоставляет разнообразный набор интерфейсов для взаимодействия с внешними активными устройствами (10GbE и GbE, USB, PCIe), а также подключения различных датчиков, реле и другого конечного оборудования (GPIO, UART, I2C, SPI). Для диагностики и отладки предусмотрены интерфейсы JTAG и EJTAG. Процессорные модули SF-BT1 могут оснащаться от 2 до 8 Гбайт оперативной памяти, а также комплектоваться энергонезависимой памятью. Массовые партии модулей будут производиться в России. www.t‑platforms.ru

Реклама

На прошедших с 22 по 26 февраля в Нюрнберге и Барселоне выставках Embedded World и Mobile World Congress российская компания «Т‑Платформы» представила процессорный модуль SF-BT1 для разработчиков устройств на базе процессора «Байкал-Т1» компании «Байкал Электроникс». Вместо того чтобы разрабатывать все схемотехнические решения новой системы с нуля, инженеры смогут использовать готовый модуль с процессором, памятью и всеми интерфейсами ввода/вывода в качестве основного «строительного блока». Чтобы получить новое устройство, достаточно разработать относительно простую с точки зрения схемотехники плату-носитель для процессорного модуля под конкретный проект. Такой подход позволяет радикально ускорить и удешевить цикл создания электроники на базе «Байкал-Т1», сохранив при этом высокую гибкость в реализации уникального функционала новых систем. На основе модулей SF-BT1 можно создавать устройства промышленной автоматики, активные компоненты автоматизированных систем управления транспортом, сетевое и вычислительное оборудование, медицинскую технику, различные при-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


52

компоненты

ПЛИС

Проектирование узлов синхронизации цифровых устройств и встраиваемых микропроцессорных систем, реализуемых на базе ПЛИС фирмы Xilinx серий Artix‑7, Kintex‑7 и Virtex‑7. Часть 2

Валерий Зотов walerry@km.ru

Процесс подготовки VHDL-описания узла формирования тактовых сигналов с использованием шаблонов встроенного HDL-редактора САПР серии Xilinx ISE Design Suite начинается с выполнения процедуры создания основы нового исходного модуля проекта, подробно рассмотренной в [22].

Разработка VHDL-описаний узлов синхронизации с использованием шаблонов встроенного HDL-редактора САПР серии Xilinx ISE Design Suite При выполнении этой процедуры в качестве типа создаваемого модуля в диалоговой панели Select Source Type необходимо выбрать вариант VHDL Module, как демонстрирует рис. 1. После определения названия и места расположения формируемого модуля VHDL-описания узла синхронизации в полях редактирования значений параметров File name и Location и нажатия кнопки «Далее» (Next) появляется диалоговая панель с заголовком Define Module, чей вид приведен на рис. 1. Открывшаяся диалоговая панель, предназначенная для ввода исходных данных создаваемого VHDLописания, содержит поля редактирования значений параметров Entity name и Architecture name, а также таблицу, в которой должна быть представлена вся необходимая информация об интерфейсных портах описываемого объекта. В поле редактирования значения параметра Entity name после его активизации необходимо указать имя объекта, представляющего узел формирования тактовых сигналов проектируемого устройства или встраиваемой микропроцессорной системы. По умолчанию в этом поле предлагается идентификатор, совпадающий с названием создаваемого модуля, которое было задано в поле редактирования значения параметра File name в диалоговой панели Select Source Type. Имя архитектурного тела VHDL-описания определяется в поле редактирования значения параметра Architecture name. По умолчанию в качестве имени архитектурного тела предлагается идентификатор Behavioral, который при необходимости можно изменить с помощью клавиатуры. Далее следует заполнить таблицу описания входных и выходных портов объекта, представляющего узел синхронизации, которая включает пять колонок с названиями Port Name, Direction, Bus, MSB и LSB. Ячейки первого столбца (Port Name) представляют собой поля редактирования имен интерфейсных портов указанного объекта, в которые необходимо с помощью клавиатуры записать соответствующие идентификаторы входных и выходных цепей узла формирования тактовых сигналов. Во второй колонке (Direction) для каждого порта нужно определить его

тип, который соответствует направлению передачи данных через этот порт. Каждая ячейка этого столбца представляет собой поле выбора, выпадающий список которого содержит три варианта, определяющие тип порта: in (входной), out (выходной) или inout (двунаправленный). В третьей колонке (Bus) указывают информацию о структуре сигнала, который ассоциируется с описываемым портом. Если сигнал имеет шинную структуру, следует переключить индикатор состояния, расположенный в соответствующей ячейке столбца Bus, в положение «Включено». Колонки MSB и LSB заполняются только для портов, представленных в виде шин и описываемых с помощью векторов. В столбце MSB указывают значения индекса, соответствующего старшему разряду вектора, а в LSB — младшему. После определения всех необходимых параметров в диалоговой панели Define Module следует нажать клавишу «Далее» (Next), после чего открывается информационная панель с заголовком Summary, в которой отображаются исходные данные, используемые для создания основы модуля VHDL-описания разрабатываемого узла синхронизации. Процесс генерации основы указанного модуля запускается нажатием клавиши «Готово» (Finish), расположенной в нижней части информационной панели, в результате чего открывается вкладка нового рабочего окна встроенного HDL-редактора, где отображается автоматически сформированный код (рис. 2). Этот код содержит декларацию используемых библиотек и пакетов, интерфейса описываемого объекта entity и основу архитектурного тела VHDL-описания. Далее необходимо дополнить автоматически сгенерированный код операторами создания экземпляров модулей MMCM, PLL и буферных элементов, применяемых в составе разрабатываемого узла синхронизации, которые должны располагаться после ключевого слова begin до заключительной строки end Behavioral (рис. 2). Для этого целесообразно воспользоваться соответствующими шаблонами встроенного HDL-редактора САПР серии Xilinx ISE Design Suite. Доступ к шаблонам предоставляет вкладка Language Templates, которая открывается в области расположения рабочих окон «Навигатора проекта» с помощью одноименной команды из всплывающего меню Edit или кнопки , находящейся на оперативной панели управления. Вид этой вкладки приведен на рис. 3.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


ПЛИС

компоненты

53

Рис. 1. Создание основы модуля VHDL-описания узла синхронизации

В левой области вкладки Language Templates представлена встроенная панель, содержащая список шаблонов, сгруппированных в папки, а в правой — панель, в которой открывается текст выбранного шаблона. Первоначально при открытии окна шаблонов в левой встроенной панели отображается четыре папки с названи-

ями Verilog, VHDL, UCF и TCL, где содержатся шаблоны соответствующих языков HDL, ограничений проекта и команд управления. Чтобы найти требуемый шаблон для последующего включения в состав создаваемого VHDL-описания узла формирования тактовых сигналов, нужно двойным щелчком левой кнопкой мыши открыть

Рис. 2. Отображение сгенерированного кода основы модуля VHDL-описания узла синхронизации

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

www.kite.ru


54

компоненты

ПЛИС

Рис. 3. Выбор шаблонов HDL-описания компонентов узла синхронизации

папку VHDL. После этого в той же встроенной панели будут показаны основные группы шаблонов, представленные в виде папок с соответствующими названиями. Для получения доступа к шаблонам описания компонентов узлов синхронизации необходимо двойным щелчком мыши развернуть папку Device Primitive Instantiation, а затем в этой папке таким же образом раскрыть раздел, чей идентификатор совпадает с названием серии ПЛИС, используемой для реализации проектируемого устройства или встраиваемой микропроцессорной системы. Далее нужно развернуть подраздел Clock Components, в котором сосредоточены шаблоны VHDL-описаний компонентов, применяемых в узлах формирования тактовых сигналов. Эти шаблоны сгруппированы в три папки с названиями Clock Buffers, Clock MUXes и MMCM/PLL (рис. 3). Папка Clock Buffers включает шаблоны описания буферных элементов, используемых в цепях распространения тактовых сигналов. В папке Clock MUXes представлены шаблоны описаний мультиплексоров, предназначенных для распределения сигналов синхронизации в кристаллах программируемой логики выбранной серии. Папка MMCM/PLL содержит шаблоны описаний комбинированных модулей управления синхронизацией и модулей фазовой автоподстройки частоты. Для включения нужного шаблона в состав создаваемого описания разрабатываемого узла формирования тактовых сигналов

следует развернуть соответствующую папку и щелчком левой кнопки мыши выделить название шаблона, после чего его содержимое отобразится в правой встроенной панели вкладки Language Templates, как показано на рис. 3. Затем нужно воспользоваться кнопкой , которая находится на панели инструментов, расположенной слева от вкладки Language Templates, или командой Use in …, представленной во всплывающем меню Edit и контекстно-зависимом меню, открываемом щелчком правой кнопки мыши. При этом текст шаблона будет вставлен в то место создаваемого модуля VHDL-описания узла синхронизации, где расположен курсор в рабочем окне интегрированного HDL-редактора. Далее необходимо переместить выражения ссылок на используемые библиотеки в начало формируемого модуля VHDL-описания. Если аналогичные выражения уже существуют в создаваемом описании, то соответствующие строки добавленного шаблона следует удалить или закомментировать. Можно также вставить только нужный фрагмент выбранного шаблона, выделив его в правой встроенной панели вкладки Language Templates и воспользовавшись командами копирования (Copy) и вставки (Paste) из всплывающего меню Edit или контекстно-зависимого меню. Рассмотренную процедуру включения шаблонов в состав формируемого описания разрабатываемого узла синхронизации следует повторить для каждого используемого компонента. После этого необходимо в каждом операторе создания экземпляра комбиниро-

ванного модуля управления синхронизацией и модуля фазовой автоподстройки частоты указать требуемые значения настраиваемых параметров, назначение которых было представлено в [22]. Эти значения устанавливаются в соответствии с функциями, реализуемыми каждым экземпляром модуля MMCM или PLL в проектируемом узле формирования тактовых сигналов. Затем в создаваемое VHDL-описание разрабатываемого узла синхронизации нужно, если необходимо, включить выражения декларации внутренних сигналов, которые используются для организации соединений между применяемыми компонентами. Для получения законченного описания узла формирования тактовых сигналов требуется в каждом операторе создания экземпляра компонента этого узла определить подключение его интерфейсных портов.

Пример VHDL-описания узла синхронизации, созданного с помощью шаблонов встроенного HDL-редактора САПР серии Xilinx ISE Design Suite Примером VHDL-описания узла формирования тактовых сигналов, созданного с помощью шаблонов встроенного HDLредактора САПР серии Xilinx ISE Design Suite, является модуль Clk_mod_hdl. Данный узел на основе внешнего сигнала синхронизации с частотой 50 МГц вырабатывает следующий набор выходных сигналов:

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


ПЛИС

• сигналы с частотой 100 МГц и коэффициентом заполнения 25% с нулевым фазовым сдвигом и сдвигом по фазе на четверть и половину периода; • сигнал с частотой 133 МГц и коэффициентом заполнения 50%; • сигналы с частотой 120 МГц и коэффициентом заполнения 50% с нулевым фазовым сдвигом и сдвигом по фазе на четверть периода. Входной сигнал синхронизации поступает с соответствующего вывода кристалла программируемой логики на вход модуля фазовой автоподстройки частоты PLL через глобальный буферный элемент IBUFG. На выходах тактовых сигналов с частотами 100 МГц, нулевым фазовым сдвигом и 133 МГц задействованы глобальные буферные элементы BUFG. В цепи выходных сигналов синхронизации с частотой 100 МГц и сдвигом по фазе на четверть и половину периода используются глобальные буферные элементы с входом разрешения BUFGCE. На выходах тактовых сигналов с частотой 120 МГц с нулевым фазовым сдвигом и сдвигом по фазе на четверть периода установлены буферные элементы BUFH и BUFHCE соответственно. Листинг 1 демонстрирует текст VHDL-описания узла синхронизации Clk_mod_hdl, сопровождаемый краткими комментариями. ------------------------------------------------------------------------------ Module Name: Clk_mod_hdl - Behavioral ----------------------------------------------------------------------------library IEEE; use IEEE.STD_LOGIC_1164.ALL; ---Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; --- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. library UNISIM; use UNISIM.VComponents.all; -entity Clk_mod_hdl is Port ( Clk_in_ext : in STD_LOGIC; Clk_out12_en : in STD_LOGIC; Clk_out5_en : in STD_LOGIC; Reset : in STD_LOGIC; Clk_out0 : out STD_LOGIC; Clk_out1 : out STD_LOGIC; Clk_out2 : out STD_LOGIC; Clk_out3 : out STD_LOGIC; Clk_out4 : out STD_LOGIC; Clk_out5 : out STD_LOGIC; Lock : out STD_LOGIC ); end Clk_mod_hdl; -architecture Behavioral of Clk_mod_hdl is -signal clk_in_int : std_logic; signal fbout : std_logic; signal fbout_buf : std_logic; signal clk_out0_int : std_logic; signal clk_out1_int : std_logic; signal clk_out2_int : std_logic; signal clk_out3_int : std_logic; signal clk_out4_int : std_logic; signal clk_out5_int : std_logic; -begin - -- PLLE2_BASE: Base Phase Locked Loop (PLL) -- Kintex-7 -- Xilinx HDL Language Template, version 14.7 -PLLE2_BASE_1 : PLLE2_BASE

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

generic map ( B ANDWIDTH => “OPTIMIZED”, -- OPTIMIZED, HIGH, LOW CLKFBOUT_MULT => 24, -- Multiply value for all CLKOUT, (2-64) CLKFBOUT_PHASE => 0.0, -- Phase offset in degrees of CLKFB, (-360.000-360.000). CLKIN1_PERIOD => 20.0, -- Input clock period in ns to ps resolution (i.e. 33.333 is 30 MHz). -- CLKOUT0_DIVIDE - CLKOUT5_DIVIDE: Divide amount for each CLKOUT (1-128) CLKOUT0_DIVIDE => 12, CLKOUT1_DIVIDE => 12, CLKOUT2_DIVIDE => 12, CLKOUT3_DIVIDE => 9, CLKOUT4_DIVIDE => 10, CLKOUT5_DIVIDE => 10, --CLKOUT0_DUTY_CYCLE - CLKOUT5_DUTY_CYCLE:Duty cycle for each CLKOUT (0.001-0.999). CLKOUT0_DUTY_CYCLE => 0.25, CLKOUT1_DUTY_CYCLE => 0.25, CLKOUT2_DUTY_CYCLE => 0.25, CLKOUT3_DUTY_CYCLE => 0.5, CLKOUT4_DUTY_CYCLE => 0.5, CLKOUT5_DUTY_CYCLE => 0.5, -- CLKOUT0_PHASE - CLKOUT5_PHASE: Phase offset for each CLKOUT (-360.000-360.000). CLKOUT0_PHASE => 0.0, CLKOUT1_PHASE => 90.0, CLKOUT2_PHASE => 180.0, CLKOUT3_PHASE => 0.0, CLKOUT4_PHASE => 0.0, CLKOUT5_PHASE => 90.0, DIVCLK_DIVIDE => 1, -- Master division value, (1-56) REF_JITTER1 => 0.10, -- Reference input jitter in UI, (0.000-0.999). STARTUP_WAIT => “FALSE” -- Delay DONE until PLL Locks, (“TRUE”/”FALSE”) ) port map ( -- Clock Outputs: 1-bit (each) output: User configurable clock outputs CLKOUT0 => clk_out0_int, -- 1-bit output: CLKOUT0 CLKOUT1 => clk_out1_int, -- 1-bit output: CLKOUT1 CLKOUT2 => clk_out2_int, -- 1-bit output: CLKOUT2 CLKOUT3 => clk_out3_int, -- 1-bit output: CLKOUT3 CLKOUT4 => clk_out4_int, -- 1-bit output: CLKOUT4 CLKOUT5 => clk_out5_int, -- 1-bit output: CLKOUT5 -- Feedback Clocks: 1-bit (each) output: Clock feedback ports CLKFBOUT => fbout, -- 1-bit output: Feedback clock LOCKED => Lock, -- 1-bit output: LOCK CLKIN1 => clk_in_int, -- 1-bit input: Input clock -- Control Ports: 1-bit (each) input: PLL control ports PWRDWN => ‘0’, -- 1-bit input: Power-down RST => Reset, -- 1-bit input: Reset -- Feedback Clocks: 1-bit (each) input: Clock feedback ports CLKFBIN => fbout_buf -- 1-bit input: Feedback clock ); - -- IBUFG: Global Clock Buffer (sourced by an external pin) -- Kintex-7 -- Xilinx HDL Language Template, version 14.7 -IBUFG_IN : IBUFG generic map ( IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards IOSTANDARD => “DEFAULT”) port map ( O => clk_in_int, -- Clock buffer output I => Clk_in_ext -- Clock buffer input (connect directly to top-level port) ); --- BUFG: Global Clock Simple Buffer -- Kintex-7 -- Xilinx HDL Language Template, version 14.7 -BUFG_FBOUT : BUFG port map ( O => fbout_buf, -- 1-bit output: Clock output I => fbout -- 1-bit input: Clock input ); - -- BUFG: Global Clock Simple Buffer -- Kintex-7 -- Xilinx HDL Language Template, version 14.7 -BUFG_OUT0 : BUFG port map ( O => Clk_out0, -- 1-bit output: Clock output I => clk_out0_int -- 1-bit input: Clock input ); --- BUFGCE: Global Clock Buffer with Clock Enable -- Kintex-7 -- Xilinx HDL Language Template, version 14.7 -BUFGCE_OUT1 : BUFGCE port map ( O => Clk_out1, -- 1-bit output: Clock output CE => Clk_out12_en, -- 1-bit input: Clock enable input for I0 I => clk_out1_int -- 1-bit input: Primary clock );

компоненты

55

- -- BUFGCE: Global Clock Buffer with Clock Enable -- Kintex-7 -- Xilinx HDL Language Template, version 14.7 -BUFGCE_OUT2 : BUFGCE port map ( O => Clk_out2, -- 1-bit output: Clock output CE => Clk_out12_en, -- 1-bit input: Clock enable input for I0 I => clk_out2_int -- 1-bit input: Primary clock ); - -- BUFG: Global Clock Simple Buffer -- Kintex-7 -- Xilinx HDL Language Template, version 14.7 -BUFG_OUT3 : BUFG port map ( O => Clk_out3, -- 1-bit output: Clock output I => clk_out3_int -- 1-bit input: Clock input ); --- BUFH: HROW Clock Buffer for a Single Clocking Region -- Kintex-7 -- Xilinx HDL Language Template, version 14.7 -BUFH_OUT4 : BUFH port map ( O => Clk_out4, -- 1-bit output: Clock output I => clk_out4_int -- 1-bit input: Clock input ); - -- BUFHCE: HROW Clock Buffer for a Single Clocking Region with Clock Enable -- Kintex-7 -- Xilinx HDL Language Template, version 14.7 -BUFHCE_OUT5 : BUFHCE generic map ( CE_TYPE => “SYNC”, -- “SYNC” (glitchless switching) or “ASYNC” (immediate switch) INIT_OUT => 0 -- Initial output value (0-1) ) port map ( O => Clk_out5, -- 1-bit output: Clock output CE => Clk_out5_en, -- 1-bit input: Active high enable I => clk_out5_int -- 1-bit input: Clock input ); -end Behavioral;

Листинг 1. Текст VHDL-описания узла синхронизации Clk_mod_hdl

В начале представленного VHDL-описания приводятся ссылки на используемые библиотеки и пакеты этих библиотек. Далее следует объявление объекта Clk_mod_hdl, представляющего создаваемый узел формирования тактовых сигналов, с описанием его интерфейса. Последующая часть содержит структурное описание архитектуры указанного объекта, в начале которого декларируются внутренние сигналы разрабатываемого узла синхронизации. Затем следует оператор создания экземпляра библиотечного примитива PLLE2_BASE, представляющего базовый вариант конфигурирования модуля фазовой автоподстройки частоты. Этот оператор сформирован на основе шаблона Base Phase Locked Loop (PLLE2_BASE). Далее приведены операторы создания экземпляров входных и выходных буферных элементов IBUFG, BUFG, BUFGCE, BUFH и BUFHCE, включенные из шаблонов Single-ended input Global Clock Buffer (IBUFG), Global Clock Simple Buffer (BUFG), Global Clock Buffer with Clock Enable (BUFGCE), HROW Clock Buffer (BUFH) и HROW Clock Buffer with Clock Enable (BUFHCE) соответственно. Система условных обозначений входных и выходных портов, используемых в описаwww.kite.ru


56

компоненты

ПЛИС

Рис. 4. Временные диаграммы сигналов узла синхронизации Clk_mod_hdl

нии интерфейса узла формирования тактовых сигналов Clk_mod_ hdl, включает следующие идентификаторы: • Clk_in_ext — вход исходного внешнего сигнала синхронизации; • Clk_out12_en — вход разрешения выходных тактовых сигналов с частотой 100 МГц и сдвигом по фазе на четверть и половину периода; • Clk_out5_en — вход разрешения выходного сигнала синхронизации с частотой 120 МГц и сдвигом по фазе на четверть периода; • Reset — вход сигнала сброса; • Clk_out0, Clk_out1, Clk_out2 — выходы сигналов с частотой 100 МГц с нулевым фазовым сдвигом и сдвигом по фазе на четверть и половину периода; • Clk_out3 — выход сигнала с частотой 133 МГц; • Clk_out4, Clk_out5 — выходы сигнала с частотой 120 МГц с нулевым фазовым сдвигом и сдвигом по фазе на четверть периода; • Lock — выход сигнала, информирующего о достижении режима фазового синхронизма. На рис. 4 приведены временные диаграммы сигналов узла синхронизации Clk_mod_hdl, полученные в результате его моделирования средствами Xilinx ISIM [21].

Формирование HDL-описаний узлов синхронизации с помощью «мастера» Clocking Wizard Для подготовки описания узла синхронизации в среде «Навигатора проекта» с помощью мастера Clocking Wizard необходимо при выполнении стандартной процедуры создания нового исходного модуля в диалоговой панели Select Source Type в качестве типа проектируемого модуля выбрать вариант IP (CORE Generator & Architecture Wizard), как демонстрирует рис. 5. Затем, указав идентификатор создаваемого модуля описания узла формирования тактовых сигналов и его расположение на диске, следует нажатием клавиши «Далее» (Next) перейти к диалоговой панели с заголовком Select IP, чей первоначальный вид изображен на рис. 5. В открывшейся диалоговой панели на вкладке View by Function ото-

бражается список всех параметризированных модулей, поддерживаемых средствами CORE Generator [20], которые сгруппированы в соответствующие разделы по функциональному признаку. Для получения доступа к различным версиям мастера Clocking Wizard необходимо последовательно открыть разделы FPGA Features and Design и Clocking, как показано на рис. 6. После этого рекомендуется выделить строку списка, в которой представлена последняя версия мастера Clocking Wizard, и с помощью клавиши «Далее» (Next) перейти к заключительной информационной панели Summary процедуры создания нового исходного модуля проекта, в которой отображаются сведения о выбранном типе и версии IP-ядра для формирования описания узла синхронизации (рис. 6). Для того чтобы активизировать мастер Clocking Wizard, следует нажать клавишу «Готово» (Finish), находящуюся в нижней части информационной панели Summary, в результате на экране появляется стартовая диалоговая панель с заголовком Clocking Features/Input Clocks, чей вид приведен на рис. 7. В этой диалоговой панели целесообразно в первую очередь указать тип библиотечного примитива (и соответственно компонент блока CMT), который будет использоваться в качестве основы генерируемого HDL-описания разрабатываемого узла синхронизации. Выбор типа библиотечного примитива осуществляется с помощью группы кнопок с зависимой фиксацией Primitive. В этой группе есть две кнопки, чьи обозначения совпадают с идентификаторами библиотечных примитивов MMCME2_ADV и PLLE2_ADV, представленных в [22]. Для генерации описания узла формирования тактовых сигналов, реализуемого на базе комбинированного модуля управления синхронизацией, в нажатом состоянии должна быть зафиксирована кнопка MMCME2_ADV. Если необходимо подготовить описание узла синхронизации, разрабатываемого на основе модуля фазовой автоподстройки частоты, то в нажатое положение следует переключить кнопку PLLE2_ADV. Затем рекомендуется указать, какие функциональные возможности нужно реализовать в разрабатываемом узле формирования тактовых сигналов, воспользовавшись индикаторами состояния,

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


ПЛИС

компоненты

57

Рис. 5. Выбор средств CORE Generator для создания описания узла синхронизации

расположенными во встроенной панели Clocking Features (рис. 7). Для синтеза выходных сигналов синхронизации с различными значениями частоты надо установить в положение «Включено» индикатор состояния параметра Frequency synthesis. Если выходные тактовые сигналы должны иметь привязку по фазе по отношению к входно-

му сигналу синхронизации, то необходимо перевести в положение «Включено» индикатор состояния параметра Phase alignment. При создании описаний узлов формирования тактовых сигналов с минимальным энергопотреблением во включенном положении должен находиться индикатор состояния параметра Minimize power. Чтобы

Рис. 6. Выбор версии мастера Clocking Wizard

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

www.kite.ru


58

компоненты

ПЛИС

Рис. 7. Вид диалоговой панели Clocking Features/Input Clocks

сгенерировать описание узла синхронизации с возможностью динамического изменения частоты вырабатываемых сигналов, следует установить во включенное положение индикатор состояния параметра Dynamic reconfiguration. Если к разрабатываемому узлу формирования тактовых сигналов предъявляется требование снижения уровня электромагнитного излучения, следует установить индикатор состояния параметра Spread Spectrum в положение «Включено». Для подготовки описания узла синхронизации, поддерживающего возможность динамического изменения величины фазового сдвига выходных сигналов, нужно перевести индикатор состояния параметра Dynamic phase shift в положение «Включено». Две последние функции доступны только при подготовке описаний узлов формирования тактовых сигналов, реализуемых на базе модуля MMCM. При этом надо также учитывать, что функции снижения уровня электромагнитного излучения и минимизации энергопотребления (и соответственно параметры Spread Spectrum и Minimize power) являются взаимоисключающими. Далее следует выбрать вариант оптимизации уровня джиттера формируемых сигналов с помощью группы кнопок с зависимой фиксацией Jitter optimization. Когда в нажатом состоянии зафиксирована кнопка Balanced, при создании описания узла синхронизации автоматически будет выбран частотный диапазон, обеспечивающий оптимальное значение джиттера вырабатываемых

сигналов. При нажатой кнопке Minimize output jitter (low clock jitter filtering) осуществляется минимизация уровня джиттера выходных сигналов синхронизации за счет возможного увеличения потребляемой мощности и значения фазовой ошибки. Если в нажатом состоянии находится кнопка Maximize input jitter filtering (allow larger input jitter), то в создаваемом узле синхронизации допускается высокий уровень джиттера входного тактового сигнала, но при этом возможно и увеличение джиттера выходных сигналов. После выбора варианта оптимизации уровня джиттера нужно определить значения основных параметров входных сигналов разрабатываемого узла синхронизации, которые представлены в таблице Input Clock Information (рис. 7). В первой колонке таблицы (Input Clock) отображается тип входного тактового сигнала — основной (primary) или дополнительный (secondary). Для использования дополнительного входного сигнала в разрабатываемом узле синхронизации нужно установить индикатор состояния, расположенный в этой же колонке, в положение «Включено». В колонке Input Freq (MHz) представлена информация о текущем значении и допустимом диапазоне частот входного сигнала синхронизации. Значение частоты используемого входного тактового сигнала указывается в мегагерцах в поле редактирования, расположенном в столбце Value. Диапазон поддерживаемых значений частоты вход-

ного сигнала синхронизации отображается в столбце Valid Range. Уровень джиттера входного тактового сигнала определяется в поле редактирования, расположенном в колонке Input Jitter. Для выбора единиц измерения указываемых значений джиттера нужно воспользоваться группой кнопок с зависимой фиксацией Input Jitter Unit. Вид источника входного тактового сигнала определяют в поле выбора, расположенном в соответствующей ячейке колонки Source. В случае использования обычного (однополюсного) сигнала синхронизации, поступающего со специального выделенного входа кристалла программируемой логики, нужно в этом поле выбрать вариант Single ended clock capable pin. Если же входной тактовый сигнал, приходящий с выделенных выводов ПЛИС, является дифференциальным, следует указать вариант Differential clock capable pin. При использовании входного тактового сигнала, поступающего с выхода глобального буферного элемента, необходимо выбрать вариант Global buffer. Чтобы сформировать описание узла синхронизации без входного буферного элемента, требуется указать вариант No buffer. Когда в качестве входного сигнала синхронизации применяется однополюсный сигнал, поступающий с пользовательского вывода кристалла программируемой логики, выбирают вариант Single ended non clock pin. Если применяется дифференциальный тактовый сигнал, приходящий с пользовательских выводов ПЛИС, указывают вариант Differential non clock pin. Следует

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


ПЛИС

обратить внимание на то, что последние два варианта доступны только при отсутствии привязки по фазе формируемых тактовых сигналов по отношению к входному сигналу синхронизации. Завершив процедуру определения требуемой конфигурации проектируемого узла формирования тактовых сигналов, следует с помощью клавиши «Далее» (Next) диалоговой панели Clocking Features/Input Clocks перейти ко второй диалоговой панели мастера Clocking Wizard с заголовком Output Clock Settings, чей вид изображен на рис. 8. В этой диалоговой панели необходимо указать состав и параметры формируемых тактовых сигналов, а также выбрать тип выходных буферных элементов. Для определения совокупности выходных сигналов разрабатываемого узла синхронизации следует воспользоваться индикаторами состояния параметров CLK_OUTn, которые расположены в колонке Output Clock таблицы, представленной в диалоговой панели Output Clock Settings (рис. 8). Чтобы задействовать в составе разрабатываемого узла синхронизации требуемый выход модуля MMCM или PLL, нужно установить соответствующий индикатор в состояние «Включено». Информация о требуемом и действительном значении частоты сигнала, формируемого на этом выходе, отображается в колонке Output Freq (MHz). Нужное значение частоты указывают в поле редактирования, расположенном в соответствующей ячейке столбца Requested. Фактическое значение частоты вырабатываемого сигнала приведено в ячейке столбца Actual. Сведения о величине фазового сдвига выходных сигналов синхронизации приводятся в колонке Phase (degrees). Требуемое значение фазового сдвига нужно записать в ячейке, входящей в состав столбца Requested, а фактическое — отображается в столбце Actual колонки Phase (degrees). Информация о целевых и действительных значениях коэффициента заполнения формируемых тактовых сигналов представлена соответственно в столбцах Requested и Actual колонки Duty Cycle (%). Тип буферных элементов, устанавливаемых на выходах проектируемого узла синхронизации, задают с помощью полей выбора, представленных в ячейках колонки Drives. Состав выпадающего списка доступных буферных элементов зависит от типа модуля блока CMT, используемого для реализации разрабатываемого узла формирования тактовых сигналов. Для подключения глобального буферного элемента к какомулибо выходу модуля MMCM или PLL необходимо в соответствующем поле выбора указать вариант BUFG. Чтобы задействовать глобальный буферный элемент с входом разрешения синхронизации, следует выбрать вариант BUFGCE. Если требуется установить буферный элемент, сопряженный с горизонтальными линиями распространения такто-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

компоненты

59

Рис. 8. Вид диалоговой панели Output Clock Settings

вых сигналов, выбирают вариант BUFН или BUFHCE при необходимости использования входа разрешения синхронизации. Для применения регионального буферного элемента необходимо указать вариант BUFR. В случае отсутствия необходимости установки буферного элемента на каком-либо выходе разрабатываемого узла синхронизации достаточно указать в соответствующем поле выбора вариант No buffer. При генерации описаний узлов синхронизации с динамическим изменением фазы выходных тактовых сигналов предоставляется возможность достижения высокой точности фазового сдвига синтезируемых сигналов. Для этого следует установить соответствующие индикаторы состояния, расположенные в колонке Use Fine Ps, в положение «Включено». После поочередного определения параметров вырабатываемых тактовых сигналов и типов выходных буферных элементов

нужно, воспользовавшись клавишей «Далее» (Next) в диалоговой панели Output Clock Settings, перейти к следующей диалоговой панели мастера Clocking Wizard, чей вид приведен на рис. 9. Эта диалоговая панель, имеющая заголовок I/O and Feedback, позволяет включить в состав генерируемого описания узла формирования тактовых сигналов дополнительные входы и выходы, а также определить вид используемой обратной связи. Состав доступных дополнительных входов и выходов зависит от типа библиотечного примитива, выбранного в качестве основы HDL-описания разрабатываемого узла синхронизации. В описании узла формирования тактовых сигналов, реализуемого на базе модуля MMCM, можно задействовать входные порты сигналов сброса и управления питанием, а также выходные порты сигналов, информирующих о достижении режима фазового синхронизма и предупреждающих об отсутствии переключений входного сиг-

Рис. 9. Вид диалоговой панели I/O and Feedback

www.kite.ru


60

компоненты

ПЛИС

Рис. 10. Вид диалоговой панели MMCM_ADV Settings

нала синхронизации и сигнала обратной связи. В узлах синхронизации, проектируемых на базе модулей фазовой автоподстройки частоты, могут использоваться только входы сброса и управления питанием, а также выходы сигналов, информирующих о достижении режима фазового синхронизма. Для того чтобы задействовать дополнительные входы управления и выходы сигналов, информирующих о функционировании узла синхронизации, следует использовать индикаторы состояния, представленные во встроенной панели Optional Inputs/Outputs (рис. 9). Индикатор состояния RESET соответствует входу сигнала сброса, LOCKED — выходу сигнала, сообщающего о достижении режима фазового синхронизма, INPUT_ CLK_STOPPED и CLKFBSTOPPED — выходам сигналов, предупреждающих об отсутствии переключений входного сигнала синхронизации и сигнала обратной связи. Для включения дополнительных входных и выходных портов в описание узла формирования тактовых сигналов нужно установить соответствующие индикаторы состояния в положение «Включено». Требуемый вариант обратной связи в формируемом узле синхронизации выбирают с помощью группы кнопок с зависимой фиксацией, которые находятся во встроенной панели Clock Feedback Source (рис. 9). Когда в нажатом состоянии зафиксирована кнопка Automatic control on-chip, мастер Clocking Wizard формирует описание узла синхронизации с внутрикристальной цепью обратной связи. При нажатой кнопке Automatic control off-chip генерируется описание узла формирования тактовых сигналов с внешней (по отношению к ПЛИС) обратной связью. Если в нажатом состоянии находится кнопка User-controlled on-chip, создается узел синхронизации с внутрикристальной обратной связью, описание которой приводится разработчиком в другом файле. В слу-

чае переключения в нажатое положение кнопки User-controlled off-chip конфигурируется узел формирования тактовых сигналов с внешней обратной связью, чье описание представлено в другом файле. Тип внешнего сигнала обратной связи (обычный или дифференциальный) указывают с помощью группы кнопок с зависимой фиксацией Clock Feedback Signaling. Если используются внешние дифференциальные сигналы обратной связи, то в нажатое положение необходимо переключить кнопку Differential. В противном случае в нажатом состоянии следует зафиксировать кнопку Single-ended. Определив состав дополнительных входов и выходов и вид обратной связи в создаваемом узле синхронизации, следует нажатием клавиши «Далее» (Next) в диалоговой панели I/O and Feedback перейти к очередной диалоговой панели мастера Clocking Wizard. Заголовок и вид четвертой диалоговой пане-

ли мастера Clocking Wizard зависит от типа библиотечного примитива, используемого в качестве основы формируемого описания. Рис. 10 демонстрирует вид этой панели при выборе для реализации проектируемого узла формирования тактовых сигналов модуля MMCM. В этом случае четвертая диалоговая панель, имеющая заголовок MMCM_ADV Settings, содержит таблицу основных настраиваемых параметров применяемого библиотечного примитива MMCME2_ADV, представляющего расширенный вариант конфигурирования комбинированного модуля управления синхронизацией. В колонке Attribute данной таблицы перечислены идентификаторы параметров настройки библиотечного примитива [22], а в колонке Value — значения этих параметров, которые автоматически вычисляются на основании информации, указанной разработчиком в предыдущих диалоговых панелях мастера Clocking Wizard. При необходимости можно принудительно изменить значения параметров, приведенные в таблице. Для этого нужно установить в положение «Включено» индикатор состояния параметра Allow override mode, после чего в колонке Value становятся доступными поля редактирования и выбора значений соответствующих настраиваемых параметров. В случае подготовки описаний узлов синхронизации на основе модуля фазовой автоподстройки частоты PLL четвертой диалоговой панелью мастера Clocking Wizard является панель с заголовком PLLE2_ ADV Settings, чей вид изображен на рис. 11. Эта диалоговая панель содержит таблицу настраиваемых параметров библиотечного примитива PLLE2_ ADV, значения которых определяют основные характеристики выходных тактовых сигналов, вырабатываемых модулем фазовой автоподстройки частоты [22]. Для принудительного изменения значений параметров настройки модуля PLL, приве-

Рис. 11. Вид диалоговой панели PLLE2_ ADV Settings

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


ПЛИС

денных в таблице, следует выполнить те же действия, что и для модификации значений параметров комбинированного модуля управления синхронизацией. После ознакомления и корректировки (при необходимости) значений настраиваемых параметров используемого библиотечного примитива нужно перейти к следующей диалоговой панели мастера Clocking Wizard, имеющей заголовок Clock Summary, Port Naming. Эта панель, чей вид приведен на рис. 12, предоставляет информацию о параметрах входных и выходных тактовых сигналов, а также о дополнительных портах, включенных в состав интерфейса формируемого узла синхронизации. Установленные значения основных параметров (частоты и джиттера) входных тактовых сигналов и идентификаторы соответствующих портов в формируемом описании узла синхронизации приведены в таблице Input Clock Summary. Значения параметров выходных сигналов синхронизации, рассчитанных на основании данных, указанных разработчиком в предыдущих диалоговых панелях, а также условные обозначения соответствующих портов в генерируемом описании узла формирования тактовых сигналов отображаются в таблице Output Clock Summary. В верхней части таблицы приводится значение частоты генератора, управляемого напряжением, VCO Freq. Информация об идентификаторах дополнительных входных и выходных портов, включенных в состав формируемого HDLописания, содержится в таблице, которая

компоненты

61

Рис. 12. Вид диалоговой панели Clock Summary, Port Naming

расположена в нижней части рассматриваемой диалоговой панели (рис. 12). В колонке Other Pins этой таблицы указано название соответствующего входа или выхода модуля MMCM или PLL, а в поле редактирования, расположенном в колонке Port Name, — идентификатор соответствующего входного или выходного порта проектируемого узла синхронизации. При необходимости во всех трех таблицах можно отредактировать идентификаторы входных и выходных портов, предлагаемые в колонке Port Name, используя клавиатуру, после чего перейти к заключительной диалоговой панели мастера

Clocking Wizard. В этой диалоговой панели, озаглавленной Core Summary (рис. 13), отображаются сведения о параметрах входного тактового сигнала и значениях коэффициентов умножения и деления частоты, которые определяют характеристики сигналов синхронизации на используемых выходах модуля MMCM или PLL. Кроме того, в панели отображаются сведения обо всех файлах, создаваемых мастером Clocking Wizard, и месте их расположения на диске. После ознакомления с представленной информацией следует активизировать процесс автоматической генерации описания разра-

Рис. 13. Завершение процесса генерации описания узла синхронизации

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

www.kite.ru


62

компоненты

ПЛИС

Рис. 14. Отображение шаблонов декларации и создания экземпляра сформированного узла синхронизации

батываемого узла формирования тактовых сигналов, нажав клавишу Generate, расположенную в нижней части заключительной диалоговой панели Core Summary. При успешном завершении этого процесса во встроенной панели Hierarchy вкладки Design рабочей области «Навигатора проекта» появляется строка с идентификатором сформированного модуля описания (рис. 13). Кроме этого модуля мастером Clocking Wizard создается файл, содержащий шаблоны выражений декларации и создания экземпляра компонента, представляющего сформированный узел синхронизации в составе исходного описания более высокого уровня иерархии проекта. Для получения доступа к этому файлу следует выделить строку с идентификатором сгенерированного описания узла синхронизации, а затем во встроенной панели Processes развернуть раздел CORE Generator, в котором представлена строка View HDL Instantiation Template (рис. 14). При расположении курсора в этой строке и двойном щелчке левой кнопки мыши открывается новая вкладка рабочего окна HDL-редактора, в котором отображается содержимое сгенерированного файла шаблонов, как показано на рис. 14. Сгенерированные выражения декларации и создания экземпляра компонента, представляющего сформированный узел синхронизации, следует с помощью команд копирования и вставки добавить в соответствующие разделы модуля исходного описания более вы-

сокого уровня иерархии проекта, например n модуля верхнего уровня иерархии. Продолжение следует

Литература 1. Зотов В. Особенности архитектуры нового поколения ПЛИС с архитектурой FPGA фирмы Xilinx // Компоненты и технологии. 2010. № 12. 2. 7 Series FPGAs Overview. Advance Product Specification. Xilinx, 2015. 3. Artix‑7 FPGAs Data Sheet: DC and Switching Characteristics. Xilinx, 2015. 4. Kintex‑7 FPGAs Data Sheet: DC and Switching Characteristics. Xilinx, 2015. 5. Virtex‑7 FPGAs Data Sheet: DC and Switching Characteristics. Xilinx, 2015. 6. 7 Series FPGAs Migration. Methodology Guide. Xilinx, 2015. 7. 7 Series FPGAs Configuration User Guide. Xilinx, 2015. 8. 7 Series FPGAs SelectIO Resources User Guide. Xilinx, 2015. 9. 7 Series FPGAs Clocking Resources User Guide. Xilinx, 2015. 10. 7 Series FPGAs Memory Resources User Guide. Xilinx, 2015. 11. 7 Series FPGAs Configurable Logic Block User Guide. Xilinx, 2015. 12. 7 Series FPGAs GTX Transceivers User Guide. Xilinx, 2015. 13. 7 Series FPGAs Integrated Block for PCIe User Guide. Xilinx, 2015.

14. 7 Series DSP48E1 Slice User Guide. Xilinx, 2015. 15. 7 Series FPGAs XADC Dual 12‑Bit 1MSPS Analogto-Digital Converter User Guide. Xilinx, 2015. 16. 7 Series FPGAs GTP Transceivers User Guide. Xilinx, 2015. 17. Зотов В. Разработка VHDL-описаний цифровых устройств, проектируемых на основе ПЛИС фирмы Xilinx, с использованием шаблонов САПР ISE Design Suite // Компоненты и технологии. 2010. № 2–12. 2011. № 1–12. 2012. № 1–12. 18. Зотов В. Ю. Проектирование цифровых устройств на основе ПЛИС фирмы Xilinx в САПР WebPack ISE. М.: Горячая линия – Телеком, 2003. 19. Зотов В. Ю. Проектирование встраиваемых микропроцессорных систем на основе ПЛИС фирмы Xilinx. М.: Горячая линия – Телеком, 2006. 20. Зотов В. Проектирование цифровых устройств, реализуемых на базе ПЛИС FPGA фирмы Xilinx, с использованием средств CORE Generator // Компоненты и технологии. 2006. № 12. 2007. № 1. 21. Зотов В. Моделирование цифровых устройств, проектируемых на основе ПЛИС фирмы Xilinx, средствами ISIM в САПР ISE Design Suite // Компоненты и технологии. 2013. № 2–3. 22. Зотов В. Проектирование узлов синхронизации цифровых устройств и встраиваемых микропроцессорных систем, реализуемых на базе ПЛИС фирмы Xilinx серий Artix‑7, Kintex‑7 и Virtex‑7. Часть 1 // Компоненты и технологии. 2016. № 3.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


64

новости

встраиваемые системы

COM Express модули от congatec со встроенной системой на кристалле AMD G‑серии мощью только одного схемотехнического решения для модуля быстро и эффективно реализовывать устройства от начального до высококлассного уровня. Сфера применения модулей самая разнообразная, начиная от игровых решений с высокопроизводительной графикой и интерактивных вывесок, использующих два внешних дисплея с разрешением 4К, обработки изображений и видео в промышленных системах технического зрения и медицинских приборах до оборудования автоматизированных продаж, POS-терминалов и систем промышленной автоматизации. Другие области применения включают приложения с повышенными требованиями к вычислительным ресурсам, таким как компьютерные системы принятия решений, сетевые экраны с глубоким анализом пакетов DPI (Deep Packet Inspection) и анализ больших данных. Благодаря поддержке HSA 1.0 вычислительная нагрузка может быть распределена между процессором и графическими ядрами, что обеспечивает значительную энергоэффективность вычислений. Новые COM Express-модули conga-TR3 с расположением контактов согласно спецификации Type 6 выпускаются со встроенным двухъядерным процессором AMD Embedded G‑Series GX‑217GI частотой от 1,7 до 2 ГГц и поддерживают память DDR4 объемом до 32 Гбит с опциональной возможностью использования ECC. Новая архитектура графической подсистемы AMD Graphics Core Next (GCN) третьего поколения дает возможность подключать до двух независимых дисплеев с разрешением 4K Ultra HD с частотой 60 Гц, исполь-

зуя порты DisplayPort 1.2 и HDMI 2.0. Реализована поддержка OpenGL 4.0 и DirectX 12 для ускорения 3D-графики в системе Windows 10. Встроенный аппаратный декодер стандарта HEVC позволяет выполнять энергоэффективное кодирование/ декодирование видео. Благодаря технологии HSA 1.0 и OpenCL 2.0 полезная нагрузка, в зависимости от задачи, распределяется между наиболее эффективными ядрами процессора. В приложениях с повышенными требованиями к безопасности использование AMD Secure Processor предоставляет возможность аппаратного шифрования/дешифрования с помощью алгоритмов RSA, SHA и AES. Новые компьютерные модули поддерживают расположение контактов согласно Type 6, на которые выведены 4 линии PCIe 3.0, 1×PEG, Gigabit Ethernet, 4×USB 3.0/2.0, 4×USB 2.0, SPI, LPC и I2C, SDIO и 2 порта UART. Поддерживаются операционные системы Linux и Microsoft Windows 10, Windows 8.1 и опционально Windows 7. Доступна также разработка и производство несущих базовых плат для этих модулей под требования заказчика, а также широкий ассортимент аксессуаров, призванных помочь в процессе проектирования. www.congatec.com

Реклама

Компания congatec расширяет ассортимент производимых модулей COM Express, внедряя использование системы на кристалле AMD G‑серии (имеющих торговое название Brown Falcon). По сравнению с модулями предыдущего поколения, основанными на встраиваемых системах на кристалле от компании AMD G‑серии, новые модули conga-TR3 с установленным процессором AMD GX‑217GI обеспечивают прирост производительности в графических приложениях до 30% и до 15% всей системы в целом. В дополнение к этому системы на кристалле AMD G‑серии поддерживают более быструю и энергоэффективную память стандарта DDR4, а также PCI Express Gen 3.0 для подключения специально разрабатываемых плат расширений, таких как мощные графические карты, использующие технологию DirectX 12. Эти усовершенствования ставят новые модули на первое место при выборе методов реализации большинства передовых встраиваемых решений. Показатель тепловыделения TDP (thermal design power, конструктивные требования по теплоотводу) находится на регулируемом уровне 12–15 Вт, что также оптимально для применения в решениях с пассивным охлаждением. В связи с тем что новые процессоры AMD G‑серии полностью совместимы по выводам со встраиваемыми системами на кристалле AMD R‑серии (имеющими торговое название Merlin Falcon) и основаны на той же микроархитектуре, производители оригинального оборудования (OEM) получают дополнительное преимущество в виде широчайшей масштабируемости. Это позволяет с по-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


ПЛИС

компоненты

65

Ретранслятор миллиметрового диапазона на 60 ГГц используется для повышения емкости сотовой связи Джон Килпатрик (John Kilpatrick) John.Kilpatrick@analog.com Робби Шерилл (Robbie Shergill) Robbie.Shergill@analog.com Маниш Синха (Manish Sinha) manish.sinha@xilinx.com Перевод: Владимир Викулин

П

остоянно увеличивающиеся требования к передаче данных в сотовых сетях заставляют операторов искать пути повышения их емкости, которая, по прогнозам, возрастет в 5000 раз к 2030 году [1]. Для этого понадобится пятикратное увеличение пропускной способности каналов связи, 20‑кратное увеличение выделенного спектра частот и 50‑кратное увеличение количества сотовых ячеек. Множество таких сот будет устанавливаться внутри зданий, где зарождается большое количество трафика, и в этом случае лучшим решением для линий связи являются оптические каналы. Но для сот, расположенных вне помещений, оптические каналы невозможны либо слишком дороги, и здесь альтернативой становятся беспроводные ретрансляторы. Нелицензируемый спектральный диапазон 5 ГГц доступен и может использоваться для расстояний за пределами прямой видимости. Тем не менее его пропускная способность ограниченна и помехи от других пользователей практически гарантированы из-за большого трафика и широкой диаграммы направленности антенн. Линии связи в диапазоне 60 ГГц рассматриваются в качестве основного варианта для каналов передачи данных между многими

Законченное решение, представляющее собой двунаправленную линию передачи данных на 60 ГГц на базе СнК Xilinx’s Zynq, обладает производительностью и гибкостью, необходимыми для рынка ретрансляторов малых сотовых ячеек.

тысячами сотовых ячеек, которые расположены вне помещений и нужны для обеспечения требуемой емкости сети. Этот частотный диапазон тоже не лицензирован, но в отличие от частот ниже 6 ГГц он содержит до 9 ГГц доступной пропускной способности. Более того, высокая частота позволяет использовать очень узконаправленные и, следовательно, малочувствительные к помехам антенны. Полностью готовое решение для двунаправленных линий связи диапазона 60 ГГц разработано компаниями Xilinx и Hittite Microwave (сейчас — отделение компании Analog Devices). Оно обладает превосходной производительностью и гибкостью, необходимой для ретрансляторов рынка малых сотовых ячеек (рис. 1). Компания Xilinx разработала для этой платформы цифровой модем, а Analog Devices — приемопередающую часть миллиметрового диапазона. Для создания такой линии связи требуются два узла (рис. 1). Каждый из них содержит передатчик с модулятором и связанные с ним аналоговые выходные цепи и приемник с демодулятором и аналоговым входным трактом. Модемная карта объединяет аналоговые и цифровые части: модуль DPLL предназначен для точного синтеза частот, а все цифро-

вые функции выполняются на ПЛИС и СнК. Работающее на одной частоте ядро модема поддерживает режимы модуляции от QPSK до 256 QAM с пропускной способностью канала до 500 МГц при скорости передачи до 3,5 Гбит/c. Модем обеспечивает дуплексные режимы как с разделением по частотам (FDD), так и по времени (TDD), имеет пониженный уровень фазового шума, а примененный в нем мощный алгоритм помехоустойчивого кодирования типа LDPC повышает производительность линии связи.

Модем миллиметрового диапазона Решение для модемов миллиметрового диапазона, разработанное компанией Xilinx, позволяет поставщикам оборудования создавать гибкие, оптимизированные по стоимости и настраиваемые под требования заказчика линии связи для беспроводных сетей. Это решение базируется на устройствах программируемой логики Xilinx Zynq‑7000 SoC или ПЛИС Kintex‑7 FPGA. Обе платформы являются частью семейства ПЛИС Xilinx, изготовленных по передовой 28‑нм технологии. Решение от Xilinx полностью адаптируемое, имеет малую потребляемую мощность

Рис. 1. Высокоуровневая блок-схема полной двунаправленной линии связи

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

www.kite.ru


66

компоненты

ПЛИС

Рис. 2. Все программируемые СнК для построения беспроводных модемов

и небольшие размеры. Оно может использоваться как внутри помещений, так и вне их для микроволновых соединений типа «точка-точка», а также «точка-несколько точек». Так же как и полупроводниковая продукция Xilinx, дорожная карта по радиомодемам миллиметрового диапазона очень агрессивна, предоставляет операторам уникальную возможность развертывать масштабируемые и обновляемые в полевых условиях системы. Цифровой модем, реализованный на платформе Zynq SoC, изображен на рис. 2. Наряду с программируемой логикой (PL) масштабируемая система процессорной обработки данной платформы (PS) содержит сдвоенное ядро ARM Cortex-A9 с интегрированными контроллерами памяти и мультистандартными пинами ввода/вывода для периферийных устройств. Такая система на кристалле (СнК, SoC) является чрезвычайно гибкой платформой. В этом случае она используется для выполнения различных функций по передаче данных и управлению, а также осуществляет аппаратное ускорение. Законченное решение для модема миллиметрового диапазона, содержащего физический уровень (PHY), контроллер, системные интерфейсы и процессор обработки пакетов, показано на рис. 2. Тем не менее, оставаясь в рамках представленной архитектуры, можно вставлять, удалять и изменять отдельные

модули. Например, есть возможность реализовать XPIC (созвездие с перекрестнополяризованной интерференцией Cross Polarisation Interference Canceller), смеситель (combiner), чтобы использовать модем в режиме перекрестной поляризации совместно с другим модемом. Решение выполнено на программируемой логике (ПЛ, PL), где ячейки ввода/вывода используются для реализации различных интерфейсов, таких как интерфейсы между модемом и обработчиком пакетов, обработчиком пакетов и памятью, а также для работы с ЦАП/АЦП. В числе других ва жных особенностей Xilinx модема следует отметить ряд функций: прозрачное и безошибочное переключение между состояниями с помощью алгоритмов адаптивного кодирования и модуляции (ACM) для сохранения работоспособности канала; адаптивное цифровое предыскажение в замкнутом контуре (DPD) для повышения эффективности радиочастотного усилителя мощности и линейности; применение кодов Рида — Соломона или же кодов низкой плотности контроля по четности (LDPC) с прямой коррекцией ошибок (FEC). Выбор конкретного метода основан на требованиях к конструкции. По умолчанию метод LDPC применяется для беспроводных транспортных сетей, в то время как кодирование Рида — Соломона предпочтительно для систем с низкой латентностью, таких как

обработка видеосигналов. Все эти функции реализованы в виде IP-ядер. Реализация алгоритмов LDPC высоко оптимизирована и использует параллельные вычисления, имеющиеся в ПЛИС для кодирования и декодирования. В результате достигнут заметный прирост отношения сигнал/шум (SNR). Можно оптимизировать декодер по размеру и потребляемой мощности путем выбора количества параллельно работающих ядер или же количества итераций на одном ядре. Таким образом можно находить оптимальные решения, основанные на полосе пропускания и скоростных ограничениях конкретного канала. Модем Xilinx поставляется вместе с мощным графическим интерфейсом пользователя (GUI) как для отображения информации, так и для отладки. С его помощью можно управлять как высокоуровневыми функциями, например задать полосу пропускания и тип модуляции, так и низкоуровневыми, в частности доступом к отдельным аппаратным регистрам. Для достижения пропускной способности 3,5 Гбит/c для решения, показанного на рис. 1, функциональные ядра работают на тактовой частоте 440 МГц. Кроме того, используются гигабитные трансиверы (GTs) для интерфейса с АЦП и ЦАП, передачи данных через 10Gb Ethernet или интерфейс CPRI (Common Hublic Radio Interface).

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


ПЛИС

компоненты

67

Чипсет трансиверов для диапазона миллиметровых волн В конце 2014 года компания Analog Devices выпустила набор кремне-германиевых устройств (SiGe) второго поколения для частоты 60 ГГц. Этот набор со значительно улучшенными параметрами оптимизирован для применения в ретрансляторах малых сотовых ячеек. Устройство типа HMC6300 представляет собой законченный аналоговый модулирующий повышающий конвертер миллиметрового диапазона. Улучшенный синтезатор частоты покрывает диапазон от 57 до 66 ГГц с шагом 250 МГц, с малым фазовым шумом. При этом поддерживается модуляция вплоть до 64 QAM. Выходная мощность увеличилась примерно на 16 дБм (линейной мощности), а встроенный детектор мощности контролирует ее так, чтобы не превышать нормативных ограничений. Передатчик допускает аналоговое или цифровое управление цепями усиления трактов ПЧ и РЧ. Аналоговое управление усилением иногда требуется при использовании модуляторов высокого порядка, когда дискретные изменения усиления могут быть ошибочно приняты за амплитудную модуляцию, что приводит к битовым ошибкам. Цифровой регулятор усиления поддерживается с помощью встроенного интерфейса SPI. Для применений, требующих модуляции более высокого порядка в узких каналах, вместо внутреннего синтезатора может использоваться внешний ФАПЧ/ГУН с еще более низким фазовым шумом. На рис. 3 показана блок-схема устройства HMC6300. Передатчик поддерживает полосу пропускания вплоть до 1,8 ГГц. Опция модуляции с минимальной частотной манипуляцией (MSK) modulator option позволяет осуществлять передачу данных со скоростями до 1,8 Гбит/c с малыми затратами и без использования дорогих и много потребляющих ЦАП. Комплементарным к этому передатчику является чип приемника типа HMC6301, также оптимизированный для удовлетворения высоких требований к соединениям для мелких сотовых ячеек. Чувствительность приемника значительно увеличена — до –20 дБм, и нелинейными искажениями входного тракта (IIP3) до –9 дБм, что позволяет работать в коротких линиях с высоким уровнем сигнала на входе. Другие функции включают в себя низкий 6‑дБ уровень шума при максимальном усилении; регулировку нижних и верхних частот модулирующих фильтров; новые, такие же, как в передатчике, синтезаторы, которые поддерживают модуляцию типа 64 QAM в полосе частот 57–66 ГГц, а также аналогового и цифрового управления усилением трактов ПЧ и РЧ. Блок-диаграмма приемника HMC6301 показана на рис. 4. Следует отметить, что в приемнике предусмотрен детектор сигнала AM detector для демодуляции сигналов с ампли-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Рис. 3. Блок-диаграмма микросхемы 60‑ГГц передатчика HMC6300

Рис. 4. Блок-диаграмма микросхемы 60‑ГГц приемника HMC6301

тудной модуляцией, таких как двоичные амплитудно-модулированные сигналы (on/off keying; OOK). Имеется также дискриминатор сигналов ФМ для демодуляции простых ФМ и MSK-модулированных сигналов. Все это является дополнением к демодулятору IQ, который используется для восстановления квадратурно-модулированных сигналов QPSK и QAM.

Как передатчик HMC6300, так и приемник HMC6301 выпускаются в корпусах BGA размером 46 мм. Их обозначение — HMC6300BG46 и HMC6301BG46. Образцы приборов доступны с начала 2015 года. Эти приборы с поверхностным монтажом сделают возможным производство плат обработки радиочастотного сигнала малой стоимости.

Рис. 5. Пример референс-дизайна с использованием устройств Xilinx и Analog Devices

www.kite.ru


68

компоненты

ПЛИС

Рис. 6. Демонстрационная платформа в работе

На рис. 5 изображена блок-диаграмма, показывающая пример построения радиомодема миллиметрового диапазона. В дополнение к ПЛИС, программному обеспечению модема и набору приемопередатчиков миллиметрового диапазона конструкция модема содержит ряд других компонентов. В их число входят двухканальный 12‑разрядный 1‑Gsample/s АЦП AD9234; четырехканальный 16‑битный со скоростью до 2,8 Gsample/s ЦАП AD9144; синтезатор частоты типа HMC7044 с ультранизким джиттером и поддержкой интерфейса последовательной передачи данных JESD204B, который используется также для управления АЦП и ЦАП.

Демонстрационная платформа Компании Xilinx и Analog Devices создали демонстрационную платформу реализованного на базе платы разработчика ПЛИС KC705-модема, на которую устанавливается мезонинная плата стандарта FMC, содержащая АЦП, ЦАП, синтезатор частоты, а также две отдельные платы, содержащие приемный и передающий модули (рис. 6). Демонстрационная платформа включает ноутбук для управления модемом и визуального отображения, переменный ВЧ-аттенюатор для задания потерь, характерных для линии миллиметрового диапазона. На плате KC705

компании Xilinx установлен чип ПЛИС Kintex‑7 XC7K325T‑2FFG900C, в который загружена прошивка IP-ядер модема WBM256. Платы радиоканала подключаются к плате разработчика ПЛИС через промежуточный мезонинный модуль стандарта FMC. Модули миллиметрового диапазона установлены на платах модуляторов/демодуляторов. Они имеют разъемы типа MMCX для интерфейсов 60 ГГц, а также разъемы типа SMA для подключения дополнительного внешнего генератора. Платформа содержит все аппаратное и программное обеспечение, необходимое для демонстрации дуплексного соединения типа «точка-точка» со скоростью до 1,1 Гбит/с в каналах с полосой 250 МГц в каждом направлении с частотным разделением.

Модульное и настраиваемое решение ПЛИС все чаще используются в различных беспроводных решениях для транзитных соединений, так как платформы, основанные на них, отличаются модульностью и настраиваемостью, тем самым снижая общую стоимость владения для OEMпроизводителей. Благодаря значительному повышению производительности своих ПЛИС/СнК 7‑й серии и применению высо-

копроизводительных широкополосных IPядер Xilinx ожидает, что ее решение для радиомодема миллиметрового диапазона станет фаворитом применения в ретрансляторах для малых сотовых ячеек. ПЛИС и СнК компании Xilinx отлично подходят для высокоскоростных и энергоэффективных конструкций, а их высокоскоростные последовательные трансиверы типа GT могут эффективно использоваться для широкополосной коммуникации и коммутационных функций. Решение Xilinx легко масштабируется для поддержки нескольких вариантов продукта, от простейших моделей со скоростью в несколько сотен мегабит в секунду до решений класса high end со скоростью 3,5 Гбит/с на той же аппаратной платформе. В радиочастотном тракте приемопередатчики теперь интегрированы в кремниевых интегральных схемах, размещаемых в корпусах для поверхностного монтажа, что позволяет производить печатные платы с низкими затратами. Чипсет приемопередатчиков миллиметрового диапазона компании Analog Devices отвечает потребностям ретрансляторов малых сотовых ячеек и обеспечивает лидирующие показатели по производительности, мощности, размеру, гибкости и функциональности. Компания Analog Devices также выпускает лучшие в отрасли преобразователи данных и микросхемы управления тактовой частотой, применение которых в описываемом радиомодеме имеет критическое значение. Обе компании намерены совместно продвигать эту перспективную технологию на рынок. n По всем вопросам, связанным с продукцией Xilinx, вы можете обращаться в московский офис компании Avnet Memec Silica: Тел.: +7 (495) 737-36-70 E‑mail: moscow@avnet.eu

Литература 1. Evolutionary and Disruptive Visions Towards Ultra High Capacity Networks. IWPC, April 2014.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016



70

компоненты

микроконтроллеры

Применение ARM-процессоров компании «Миландр» под управлением ОС FreeRTOS в приборах учета электроэнергии

Алексей Бороздин borozdin.a@ic-design.ru Юрий Сахно

П

риборы учета «Милур» могут применяться автономно, как обычные счетчики электроэнергии, но их основное назначение — работа в составе автоматизированной системы коммерческого учета энергоресурсов АСКУЭ. Данная система позволяет в автоматическом режиме собирать данные от приборов учета электроэнергии по проводным (PLC или RS‑485) или беспроводным интерфейсам (ZigBee), обрабатывать их, хранить и предоставлять в удобной форме для дальнейшего использования. АСКУЭ состоит из многих компонентов, и ее базовым звеном являются приборы учета. Приборы учета электроэнергии «Милур 107» и «Милур 307» построены на базе специализированных 32‑разрядных микроконтроллеров К1986ВЕ23 и К1986ВЕ21, которые являются собственной разработкой компании «Миландр». Микроконтроллер К1986ВЕ23 предназначен для построения однофазных счетчиков электроэнергии и содержит 3 канала 24‑битных SD АЦП. Микроконтроллер К1986ВЕ21 создан для трехфазных счетчиков и содержит семь каналов 24‑битных SD АЦП. Оба микроконтроллера имеют одинаковое ядро ARM Cortex-M0, 16 кбайт ОЗУ, 64 либо 128 кбайт Flash-памяти программ и работают на тактовой частоте 36 МГц [1, 2]. Аппаратные ресурсы микроконтроллеров позволяют решить любые задачи учета электроэнергии. Важнейшим вопросом является программное обеспечение, которое в конечном итоге определяет функциональные возможности приборов. Программное обеспечение, управляющее приборами учета электроэнергии

Приборы учета электроэнергии компании «Миландр» — «Милур 107» и «Милур 307» — разрабатываются в рамках стартовавшей в 2014 году комплексной программы «Реализация комплексного проекта по созданию высокотехнологичного производства интеллектуальных приборов энергоучета, разработанных и изготовленных на базе отечественных микроэлектронных компонентов, и гетерогенной автоматизированной системы мониторинга потребляемых энергоресурсов на их основе» (шифр «Комплексное импортозамещение» по договору с Минобрнауки на основании постановления Правительства Российской Федерации № 218).

«Милур 107/307», можно условно разделить на две части — метрологическую и прикладную. Метрологическая часть обрабатывает данные, поступающие с аппаратных блоков АЦП микроконтроллера в соответствии с тарифами и расписанием, формирует интервальные срезы мощности, а также управляет импульсными выходами счетчика. Прикладная часть ПО реализует сервисные функции, отвечает за взаимодействие с пользователем и обмен через внешние интерфейсы. И метрологическая, и прикладная части ПО имеют модульную структуру, в которой каждый программный модуль решает узкоспециализированную часть общей задачи. Большинство программных модулей выполняется асинхронно и параллельно с другими модулями, и важно правильно разделить аппаратные ресурсы микроконтроллера между ними, поскольку от этого зависит общая эффективность и надежность устройства. Особенно это актуально для различных протоколов связи. Традиционно ПО встраиваемых систем реализуют как набор программных модулей, причем каждый модуль содержит конечный автомат, управляющий тем или иным процессом. В главном цикле все конечные автоматы вызываются последовательно, с известным интервалом. В дополнение к автоматам используются обработчики прерываний, работающие непосредственно с аппаратными блоками и обеспечивающие привязку к реальному времени. В самом простом случае обмен данными между обработчиками прерываний, а также между программными модулями идет через глобальные переменные или флаги. Помимо этого, возможны вариации та-

кого подхода, когда дополнительно вводится система сообщений, и обмен данными ведется через диспетчера сообщений. В целом такой подход похож на применение ОС с корпоративной многозадачностью — обеспечивается детерминизм системы, но возникают проблемы с расширяемостью кода. При добавлении новых функций нужно обязательно учитывать максимально допустимое время работы модуля в контексте всей системы. Если решается продолжительная вычислительная задача, которую невозможно выполнить за один квант времени, ее приходится разбивать на более мелкие и выполнять эти части последовательно. Такая архитектура ПО хорошо подходит для относительно простых систем с небольшим числом параллельных задач. Альтернативой традиционному подходу является применение операционной системы с вытесняющей многозадачностью, что позволяет иначе организовать работу программных модулей. Функции системы распределяются между отдельными потоками, при этом все потоки выполняются псевдопараллельно, обмениваясь данными через механизмы передачи сообщений и объекты синхронизации. Потокам присваиваются приоритеты, в зависимости от которых планировщик ОС распределяет процессорное время. Применение ОС также способствует разделению задач между специалистами при создании кода. Условно процесс разработки ПО на базе ОС можно разбить на два этапа: 1. Разрабатывается базовая структура ПО. Портируется на выбранную платформу ОС и разрабатываются драйверы. Эту задачу выполняет системный инженер,

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


микроконтроллеры

который хорошо знаком с архитектурой микроконтроллера. В результате данного этапа получается платформо-зависимый код, выполняющий роль слоя абстракций для вышестоящих алгоритмов. 2. Разрабатываются управляющие алгоритмы. За счет отсутствия привязки к конкретному аппаратному обеспечению появляется возможность повторного использования этих модулей. К негативным аспектам применения ОС можно отнести сложность разработки. Многие проблемы традиционного подхода снимаются, но могут возникнуть другие, присущие всем многопоточным приложениям, в частности разграничение доступа к разделяемым ресурсам, потенциальные взаимоблокировки. Кроме того, ОС предполагает дополнительные накладные расходы для работы ядра — как процессорного времени, так и памяти. Эти требования варьируются от одной системы к другой. В большинстве своем микроконтроллерные системы являются системами жесткого реального времени, то есть невозможность обеспечить реакцию на какие-либо события в заданное время ведет к отказам и невыполнимости поставленной задачи. Для таких систем применяют операционные системы реального времени. В качестве основного требования к ОСРВ выдвигается условие обеспечения предсказуемости, или детерминированности поведения системы во всех случаях. Как правило, такие системы не занимают много места в памяти программ (типовые значения 4–20 кбайт), а также нетребовательны к ресурсам памяти [4]. В приборах учета электроэнергии «Милур 107» и «Милур 307» в качестве системного программного обеспечения используется операционная система реального времени FreeRTOS [5] — это ОСРВ с вытесняющей многозадачностью, полностью открытым исходным кодом, имеющая богатый API и при этом предъявляющая минимальные требования к аппаратуре. FreeRTOS поддерживает большое количество архитектур, в том числе ядро ARM Cortex-M0, применяемое в МК К1986ВЕ21 и К1986ВЕ23. Согласно лицензионному соглашению FreeRTOS, при использовании этой ОС в своем проекте нет необходимости открывать проприетарный код, задействующий API ОС. Нужно открыть лишь исходники самой ОС при условии внесения в них изменений. FreeRTOS поставляется как набор исходных C‑файлов, которые компилируются вместе с кодом проекта. Функциональные возможности конфигурируются в заголовочном файле, что позволяет исключить ненужный код из компиляции и тем самым уменьшить требуемый объем памяти. В терминах ОС каждый программный модуль представляет собой задачу (task), реализующую определенный функционал и взаимодействующий с другими задачами.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

компоненты

71

Рисунок. Структурная схема ПО приборов учета электроэнергии «Милур» на базе FreeRTOS

Задача может агрегировать определенный набор функций, выступая как источник событий или данных, или быть посредником при доступе к аппаратным ресурсам (так называемый gatekeeper). Количество и выполняемые функции задач выбирают в зависимости от требований к системе так, чтобы максимизировать модульность и автономность кода. Например, в текущей версии ПО приборов учета электроэнергии «Милур» параллельно выполняется восемь задач. При запуске системы дополнительно реализуется задача инициализации системы, которая после работы удаляется (рисунок). Внутренние данные задач, содержащие детали реализации, сокрыты от других программных модулей. Взаимодействие строится унифицированным образом — на основе механизма сообщений, при этом синхронизация через другие глобальные примитивы сводится к минимуму. Логически обмен данными между задачами может строиться по модели с «проталкиванием» данных (push) или с «вытягиванием» (pull). В зависимости от ситуации применяется как push-, так и pull-обмен данными. Например, задача, обслуживающая часы реального времени, периодически отправляет (push) специальное сообщение задаче LCD, которое инициирует обновление дисплея. Задача LCD, в свою очередь, в зависимости от отображаемого пункта меню и внутреннего состояния запрашивает данные у других задач (pull). Декомпозиция всего функционала ПО должна выполняться так, чтобы по возможности избегать проблем, связанных

с многопоточностью и доступом к разделяемым ресурсам. Очевидно, что наборы программных модулей для трехфазных и однофазных приборов учета схожи. Исходя из этого было принято решение использовать один набор задач для разных моделей приборов учета, заменяя лишь драйверы, непосредственно работающие с аппаратурой, а также внося минимальные изменения в код при помощи директив условной компиляции в зависимости от модели. Это значительно упростило разработку и поддержку ПО, так как объем создаваемого и тестируемого кода существенно сократился. Кроме того, за счет инкапсуляции внутренних данных внутри задач и применения стандартизованного интерфейса обмена данными через сообщения можно легко заменить один модуль на другой, в частности при переходе на другой тип LCD, при подключении нового внешнего модема или расширении существующей функциональности. При этом такая модификация не затрагивает отлаженные модули, а значит, ускоряется процесс верификации обновленного ПО. При разработке программного обеспечения для приборов учета «Милур 107/307» применялась стратегия «от общего к частному». На основе существующего решения и требований была проведена декомпозиция на задачи в терминах ОС, проработан интерфейс обмена данными и синхронизации между задачами. В результате проект представлял собой ядро ОС + набор задач, реализующих разработанный интерфейс, но содержащих www.kite.ru


Таблица. Усредненные показатели потребления ресурсов системы Задача Предварительная обработка данных от АЦП Учет и тарификация электроэнергии Обслуживание часов реального времени Обслуживание энергонезависимой памяти Сервисные функции LCD-дисплей и пользовательский ввод Канальный уровень коммуникаций Протокольный уровень коммуникаций Системный процесс IDLE (простой системы)

Потребление Загрузка стека, байт ядра, % 176

0,06

328 188 144 368 160 184 208

0,04 0,7 0,42 1,19 0,13 3,71 0,11

88

93,59

заглушки на месте реальных вычислений + некоторый базовый набор драйверов, необходимых для минимальной работоспособности. Далее параллельно несколькими инженерами велась работа над написанием драйверов аппаратных блоков и наполнением функционалом задач. По мере готовности код объединялся, тестировался в составе системы и при необходимости корректировался. API операционной системы FreeRTOS позволяет собрать статистику по работе планировщика, потреблению стека и общей памяти задачами, а также по временным ресурсам (таблица). Поскольку большинство событий, требующих обслуживания, возникает регулярно, но относительно редко, основную часть

микроконтроллеры

времени вычислительное ядро простаивает. Суммарный объем кода, занимаемый ОС в итоговом образе, равен 4676 байт, что составляет менее 8% всей памяти программ микроконтроллера К1986ВЕ23 и менее 4% для К1986ВЕ21. Подводя итог, можно сказать, что выбор ОСРВ для приборов учета электроэнергии «Милур 107/307» повысил модульность, надежность и расширяемость кода, а также улучшил поддержку и сопровождение проекта при приемлемых аппаратных затратах. Имеется большой потенциал для наращивания возможностей на существующей аппаратной платформе. n

Литература 1. Спецификация: Микроконтроллер 1986ВЕ23У для применения в однофазных электросчетчиках на базе процессорного ядра ARM Cortex-M0. 2. Спецификация: Микроконтроллер 1986ВЕ21У для применения в электросчетчиках на базе процессорного ядра ARM Cortex-M0. 3. Oshana R., Kraeling M. Software Engineering for Embedded Systems. Methods, Practical Techniques, and Applications, 2013. 4. Бурдонов И. Б., Косачев А. С., Пономаренко В. Н. Операционные системы реального времени. М.: Институт системного программирования РАН, 2006. 5. www.freertos.org

новости

блоки питания

Новая линейка DC/DCпреобразователей TMDC 60 от Traco Power Компания Traco Power представляет новую линейку высокомощных DC/DCпреобразователей в пластиковом корпусе, с диапазоном входного напряжения 4:1. Высококачественные компоненты, используемые для создания модулей данной серии, обеспечивают им высокий КПД (до 92%) и широкий диапазон рабочих температур (–40…+70 °C без снижения КПД и до +85 °C со снижением КПД на 50%). Входной фильтр сконструирован так, чтобы удовлетворять сертификату EN55022 класса А. Возможность установки на DIN-рейку, а также защита от переполюсовки на входе, наличие функции удаленного вкл./выкл. и индикатора «Питание ОК» делает модули этой серии практичным и надежным решением для любого приложения Особенности: • Входное напряжение: 9–36/18–75 В. • Выходное напряжение: 5,1/12/24/48 В. • КПД: ≤92%. • Рабочий диапазон температур: –40…+85 °C с учетом снижения КПД. • Сопротивление изоляции: 2500 В. www.ptelectronics.ru

Реклама

компоненты

72

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


74

компоненты

Решения ON Semiconductor для построения беспроводных систем диапазона до 1 ГГц

Виктор Чистяков

С

овременные полупроводниковые компоненты, стандарты и системы связи обеспечивают простое и удобное соединение для взаимодействующих между собой и подключающихся к сети домашних и офисных устройств, компонентов промышленной автоматики и датчиков транспортных средств. В модельном ассортименте компании ON Semiconductor есть ряд интересных микросхем в виде интегральных трансиверов и радиочастотных контроллеров (РК), позволяющих объединять между собой и через Интернет различные средства и системы, включая экономичные устройства с батарейным питанием.

Обзор целевого рынка, быстро развивающийся «Интернет вещей» Радиочастотный спектр в диапазоне до 1 ГГц остается привлекательным для устройств ближнего радиуса действия с экономичным режимом потребления энергии, в частности для устройств с автономным питанием. Беспроводные системы ближнего радиуса действия, обеспечивающие двунаправленную радиосвязь, могут использоваться во многих сферах деятельности. К типичным областям применения относятся: дистанционный контроль производственной и бытовой автоматики; беспроводные датчики; бесконтактные замки и системы удаленного запуска в автомобилях; системы сигнализации; расширенное беспроводное управление аудио- и видеотехникой. Особый интерес вызывает технология, которую мы называем сегодня «Интернет ве-

В современном мире беспроводные системы цифровой радиосвязи находят все более широкое применение, а сетевые технологии являются одной из составляющих новой технологической революции. Беспроводные офисные, производственные и домашн ие сети сегодня можно встретить повсюду. Интернет стал телекоммуникационной основой для человеческой цивилизации и во многом опирается на беспроводные технологии как для высокоскоростных каналов связи, так и для выхода отдельных устройств в сеть. Сетевая архитектура и беспроводные каналы связи способны обеспечивать транспортировку данных во все уголки планеты.

щей» (ИВ). Основы ИВ (Internet of Things, IoT) начали закладываться в конце прошлого века, с помощью средств радиочастотной идентификации (RFID) для отдельных объектов. А в 1999 году известный футуролог Кевин Эштон (Kevin Ashton) впервые использовал этот термин и предсказал наступление эры, когда обычные домашние устройства станут до такой степени автоматизированными, что самостоятельно смогут выходить в Интернет, передавая данные или обмениваясь ими для координации своей работы (рис. 1). Концепция «Интернет вещей» появилась для того, чтобы отразить лавинообразный рост количества интеллектуальных, поддерживающих сетевые функции изделий и отметить вновь возникающие при этом возможности. Для производственных компаний последствия развития данной технологии имеют огромное значение. Она обещает новые, быстро растущие рынки сбыта, новые возможности в сфере промышленной, офисной, домашней автоматизации, в ЖКХ. ИВ помогает усовершенствовать подобные системы, упрощает удаленное управление объектами в них. Концепция ИВ позволяет преобразить

Рис. 1. «Интернет вещей»

давно известные вещи, наполнить их иными функциями и возможностями, открывает новые перспективы в создании ранее невиданных персональных умных устройств. Ежегодно проводятся конференции, посвященные проблемам «Интернета вещей». На платформе этой концепции реализуются новейшие достижения в области полупроводниковой электроники, беспроводных технологий. В нашей стране развитию «Интернета вещей» также уделяется серьезное внимание на самом высоком уровне. Концепция основных направлений развития ИВ в России скоро должна быть представлена рабочей группой Минпромторга, в состав которой вошли представители оборонного комплекса, МЧС, Ростелекома, а также производители микроэлектроники. Предполагается участие иностранных компаний, готовых локализовать свои технологии в России. Государственная комиссия по радиочастотам изучает возможность выделения дополнительных частот для устройств малого радиуса действия в связи с развитием ИВ в нашей стране. Решение о выделении нелицензируемых частот в полосах 870–876 МГц и 915–921 МГц ожидается в IV квартале 2016 года. Сегодня уже многое доступно «Интернету вещей», но еще более заманчивые перспективы открываются в будущем. По оценкам экспертов, в ближайшем десятилетии «Интернет вещей» станет основным сегментом на электронном рынке. К числу основных направлений развития технологии относят здравоохранение и транспорт. Повысится востребованность облачных сервисов. Воплощение столь масштабных замыслов невозможно без создания соответствующих стандартов и технологий радиосвязи для их реализации.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


компоненты

75

Протоколы связи, применяемые на этом рынке Сегодня имеется множество протоколов и технологий для организации беспроводных сетей малого радиуса действия для «Интернета вещей». Отметим лишь наиболее популярные из них. Для решения проблемы последней мили часто используются стандарты Sigfox, Wireless-MBUS, 6LoWPAN, LoRaWAN или Long Range Wide Area Network (представлен исследовательским центром IBM Research и компанией Semtech). Технология работает в субгигагерцевом, нелицензируемом диапазоне частот. С целью поддержки и распространения технологии создан LoRa Alliance, в который входит множество компаний, в том числе и российская LACE. Из российских разработок следует отметить энергоэффективный сетевой протокол СТРИЖ для создания систем удаленного сбора данных и телеметрии. На последней выставке CES 2016 Wi-Fi Alliance представил новый вариант Wi-Fi для «Интернета вещей», получивший приставку HaLow. Сертификация первых устройств на основе Wi-Fi HaLow ожидается к 2018 году, а первые новинки данной спецификации появятся и раньше. Wi-Fi HaLow использует свободную частоту 900 МГц и обещает радиус действия в городских условиях до 1 км. Предполагаемая пропускная способность Wi-Fi HaLow находится в диапазоне от 50 кбит/с до 18 Мбит/с. Отмечается, что HaLow будет использовать существующие протоколы Wi-Fi, что обеспечит повышенную совместимость и безопасность. Локализованный в России под маркой КОННЕКС стандарт KNX (рис. 2) наряду с проводными каналами использует два частотных окна 868 и 433 МГц, он применяется в системах офисной и домашней автоматизации.

Рис. 3. Сети Z‑Wave

приблизительно 30 м. Z‑Wave оптимизирован для передачи простых управляющих команд с малыми задержками. Технология предполагает применение маломощных, миниатюрных встраиваемых РЧ-модулей. Одной из проблем, решаемых в формате «Интернета вещей», является создание надежных, достаточно скоростных и защищенных от помех каналов связи и коммуникационных протоколов, уровень требований к которым во многом зависит от специфики решаемых задач.

Компания Axsem как часть ON Semiconductor Сегодня повсеместно используются полупроводниковые РЧкомпоненты и микросхемы для решения разнообразных задач, включая автоматическое считывание показаний интеллектуальных счетчиков, автосигнализацию, дистанционное управление, системы мониторинга на транспорте и в медицине. Основанная в 2000 году швейцарская компания Axsem получила известность как один из ведущих разработчиков подобных полупроводниковых компонентов. Во всем мире пользуются популярностью радиочастотные приемопередатчики и микроконтроллеры Axsem в интегральном исполнении. Микросхемы Axsem характеризуются уникальным сочетанием превосходных радиочастотных параметров и расширенных функциональных возможностей, при этом они сохраняют на минимальном уровне потребляемую энергию и обладают расширенными возможностями (рис. 4). Рис. 2. Стандарт KNX

Для организации сетей в радиусе 100 м также есть целый ряд протоколов, а среди популярных в субгигагерцевом диапазоне — ZigBee и Z‑Wave. Zigbee построен на основе стандарта IEEE 802.15.4, включает целый ряд профилей для различных устройств, использует несколько диапазонов частот. Стандарт разработан для питающихся от батарей устройств со сверхмалым энергопотреблением, допускающих скорости передачи менее 250 кбит/с, работающих в радиусе 10–100 м. Среди прочих достоинств стандарта следует упомянуть хорошую масштабируемость, возможность самовосстановления сети в случае сбоев и простоту настройки. Устройства ZigBee могут быть активированы менее чем за 15 мс. К сожалению, в России ZigBee пока используется только в диапазоне 2,4 ГГц. Беспроводной протокол связи Z‑Wave ориентирован на домашнюю автоматизацию (рис. 3). Частотный диапазон в России составляет 869 МГц. Пропускная способность до 100 кбит/с, а радиус действия

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Рис. 4. Axsem и ON Semiconductor

Как известно, Axsem долгое время была разработчиком без собственных производственных мощностей. Сегодня многое может измениться. В прошлом году компания Axsem вошла в состав структуры крупного американского изготовителя полупроводниковых компонентов ON Semiconductor. Можно надеяться, что теперь оригинальные новинки ON Semiconductor на базе технологий Axsem обретут еще более высокую популярность и быстрее дойдут до потребителей. www.kite.ru


компоненты

76

Трансиверы Axsem В ассортименте продукции Axsem представлен ряд радиочастотных передатчиков/трансиверов (рис. 5), работающих в диапазоне частот до 1050 МГц. Приемопередатчики Axsem известны высокой чувствительностью и очень эффективными выходными каскадами. Типичная чувствительность составляет –110…–126 дБм при скорости принимаемого цифрового потока 1,2 кбит/c. В зависимости от типа микросхемы максимальная выходная мощность составляет 14–16 дБм. Трансиверы Axsem имеют интегрированный переключатель приема/передачи, что упрощает подключаемые внешние цепи.

Рис. 6. Карта памяти AX 8052

Рис. 5. РЧ-микроконтроллер Axsem AX8052F143

В модельном ассортименте Axsem сегодня четыре линейки подобных микросхем: • Узкополосные трансиверы серий AX5042, AX50424, AX5043 и AX5243 отличаются чувствительностью, которая является одной из наиболее высоких среди РЧмикросхем. • Линейка универсальных микросхем AX5031, AX5051 и AX5051-510 содержит отличающиеся превосходной производительностью передатчики и трансиверы. •  Выпускаемые в корпусах TSSOP передатчики и трансиверы серий AX5131, AX5151 и AX5151-510 выделяются превосходным соотношением производительность/цена. • РЧ-микроконтроллеры на основе экономичных МК семейства AX8052 c интегрированным РЧ-модулем выполнены в формате «система-на-кристалле» (СнК). Передатчик АХ5031 и трансмиттер АХ5052 работают в диапазонах 400–470 МГц и 800– 940 МГц, типичное рабочее расстояние до 1 км. Максимальная выходная мощность на частоте 433 МГц составляет 16 и 17 дВм соответственно, чувствительность АХ5052 равна –116 дБм при битрейте принимаемого потока 1,2 кбит/c. Радиус действия трансиверов AX5042 около 5 км, а у AX5043 он достигает 10 км. Встроенный узкополосный фильтр снижает уровень шумов и таким образом увеличивает чувствительность трансивера, которая составляет до –126 дБм у АХ5043 при битрейте

принимаемого потока 1,2 кбит/c, что близко к максимально возможному теоретическому значению, по заявлению Axsem. Диапазон рабочих частот составляет 400–470 МГц и 800–940 МГц для AX5042 и расширяется до 27–1050 МГц для AX5043. Выпускаемые в недорогих корпусах типа TSSOP трансиверы AX5131 и AX5151 функционируют в диапазонах 400–470 МГц и 800– 940 МГц, на расстоянии до 1 км. Потребляемый в спящем режиме ток составляет 4,5 мкА для AX5043 и повышается до 6 мкА у других микросхем. Все трансиверы и РЧ-микроконтроллеры Axsem отличаются постоянством уровня выходной мощности во всем диапазоне питающего напряжения. Принадлежащие к числу наиболее экономичных трансивер AX5043 и РЧ-микроконтроллер AX8052F143 имеют рабочий диапазон напряжения 1,8–3,6 В. Во всем диапазоне питающих напряжений чувствительность приемника микросхем остается неизменной.

Микроконтроллер AX8052 — основа РЧ-систем-на-кристалле Axsem Компания Axsem разработала микроконтроллеры AX8052Fxxx, отличающиеся сверхнизкой потребляемой мощностью, выполненные на основе 8‑битного ядра популярной архитектуры 8052. Данный вариант МК в исполнении Axsem создан с использованием ограниченного набора исполняемых команд (RISC). Компания предлагает как отдельные микроконтроллеры, оптимизированные для использования совместно с РЧмикросхемами, так и РЧ-микроконтроллеры в составе однокристальных систем совместно с трансиверами. Семейство AX8052Fxxx позволяет создавать РЧ-системы, требующие защиты данных,

и предусматривает сложную технологию шифрования. Механизмы безопасности включают также меры по предотвращению атак с целью несанкционированного доступа к памяти. Гибкая концепция тактирования семейства AX8052Fxxx значительно облегчает создание очень энергоэкономичных устройств. AX8052 выполнен на основе гарвардской архитектуры. Отдельные адресные пространства используются для исполняемого кода и данных. На рис. 6 показана карта памяти AX8052. AX8052 выполняет стандартный, но ограниченный (RISC) для микроконтроллеров архитектуры 8052 набор команд. Многие команды выполняются за один цикл. Тактовая частота и соответственно скорость исполнения команд могут программно изменяться от 0 до 20 МГц. У AX8052 полностью параллельная архитектура памяти. Все мастера шин могут одновременно получать доступ к различным шинам в течение каждого тактового периода. Шинные арбитры разрешают конфликты доступа. Блоки ОЗУ по 4 кбайт могут использоваться индивидуально во время спящего режима. При этом содержимое ОЗУ 256 байт всегда сохраняется. Обработчик шифрования (AES) имеет 16‑битный доступ к памяти. На рис. 7 показана архитектура МК AX8052F143, отличающаяся одной их наиболее широких полос пропускания канала памяти в своем классе. Данные могут передаваться по трем шинам под управлением: • ядра микроконтроллера AX8052; • системы прямого доступа к памяти (DMA); • системы шифрования (AES). Источниками и потребителями данных на шинах являются: • два отдельных блока памяти RAM по 4 кбайт, расположенные в адресном пространстве X с возможностью одновременного доступа и индивидуального отключения или хранения в спящем режиме;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


компоненты

77

Таблица. РЧ-микроконтроллеры Axsem Параметры

Назначение

AX8052F131

AX8052F151

Вычислительное ядро

Рис. 7. Архитектура микроконтроллера AX8052F143

• 256 байт памяти RAM, размещенной во внутреннем адресном пространстве, которое всегда остается активным в спящем режиме; • 64 кбайт памяти FLASH размещены в кодовом пространстве; • регистры специальных функций (SFR) размещены во внутреннем адресном пространстве, доступном через команды DMA; • дополнительные регистры размещены в адресном пространстве X. Система синхронизации и тактирования может работать с использованием внешнего или внутреннего задающего частотного резонатора. Это может быть внутренний RC-генератор высокой частоты (20 МГц) или низкочастотный (640 Гц/10 кГц) в экономичном режиме. Внешний кварцевый резонатор, подключаемый к выводам SYSCLK, обеспечивает обычно высокоскоростной режим 16 МГц или низкоскоростной 32 кГц. Основные характеристики РЧ-микроконтроллеров Axsem приведены в таблице. Рассмотрим более подробно экономичную модель AX8052F143, включающую РЧ-ядро на основе трансивера AX5043, и экономичный вариант МК на основе популярной архитектуры 8052, выполненные в корпусе QFN40. AX8052F143 имеет: три 16‑битных таймера общего назначения с режимом сигма-дельта, два выхода с ШИМ, два входа контроля длительности входного сигнала, два 16‑битных таймера пробуждения, сторожевой таймер, два канала UART, контроллер ведущий/ведомый SPI, 10‑битный АЦП на 500 000 выб./с, 2 аналоговых компаратора, датчик температуры, 2‑канальный контроллер DMA и отдельный контроллер шифрования AES. Для упрощения отладки с помощью компьютера имеется специальный 3‑проводной интерфейс (1 выделенный провод, 2 совместных с GPIO). Один из четырех режимов действия микроконтроллера может быть выбран независимо от работы трансивера: • RUNNUNG — работает микроконтроллер и вся периферия.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

• STANBY — МК остановлен, сохраняется содержимое памяти и всех регистров. Все периферийные устройства продолжают нормально функционировать. Ток потребления определяется с поддержкой периферийных устройств. Выход из состояния по любому из разрешенных прерываний. • SLEEP — остановлены микроконтроллер и периферия, кроме GPIO и системного контроллера. Настройки регистров теряются, внутреннее ОЗУ сохраняется, внешнее ОЗУ делится на два независимых блока по 4 кбайт. Выход из режима по любому GPIO или прерыванию системного контроллера. Для большинства приложений это порты ввода/вывода или прерывание таймера пробуждения. • DEEPSLEEP — микроконтроллер, все периферийные устройства и приемопередатчик отключены. Сохраняются только 4 байт данных в оперативной памяти. Выход из режима по низкому уровню на выводе PB3. Микроконтроллеры AX8052Fххх обеспечивают значительную вычислительную мощность и объем памяти. В ассортименте компании есть три типа таких микросхем: AX8052F131, AX8052F151 и AX8052F143, выполненные на одном и том же вычислительном ядре, но с разными РЧ-модулями AX5031, AX5051 и AX5043.

«Система-на-кристалле»: микроконтроллер + трансивер в одном чипе Завершающим звеном в модельном ассортименте Axsem выглядят экономичные микроконтроллеры, выполненные совместно с РЧ-модулями и представляющие собой завершенную интегральную систему обработки и передачи данных в виде СнК. Рассмотрим более подробно однокристальный комплект из микроконтроллера и радиочастотного приемопередатчика AX8052F143, работающего в диапазоне 27–1050 МГц. Этот РЧ-микроконтроллер от-

AX8052

РЧ-ядро

AX5031

Напряжение питания, В Температурный диапазон, °C Корпус, размер, мм Доступные выводы

2,2–3,6

Рабочий режим МК, мкА/МГц Дежурный режим МК, нА МК выключен, нА Блок РЧ, прием, мА Блок РЧ, передача 10 дБм, мА

AX8052F143

Общие характеристики Экономичный Экономичный РЧ-микроРЧ-микроконтроллер контроллер с трансивером с передатчиком

AX5051

AX5043

2,3–3,6

1,8–3,6

–40…+85 QFN40, 7×5 20 21 Энергопотребление

19

150 500–1500 –

50 22

6,5–11

22

16

Ядро МК Тактовая частота МК, МГц 16-разрядные таймеры с SD-модуляцией Таймер пробуждения

0–20 3 1 Память

Flash, кбайт RAM, кбайт Flash, хранение, лет Flash, длительность, циклы

64 8,25 10 10 000 Интерфейсы

Интерфейс отладки с UART UART Ведущий/ведомый SPI Блоки ввода сигнала ШИМ

да 2 1 2 2 Аналоговые функции

Дифференциальный 10-битный АЦП, 500 квыб/c/каналы Компараторы/ каналы Датчик температуры, °С Сброс по напряжению, В Быстрый RC-генератор, МГц Медленный RC-генератор, кГц Функция РЧ Максимальная выходная мощность (868 МГц), дБм Чувствительность при 1,2 кбит/c, FSK, дБм

1/4

1/3

2/4

2/2 ±1,5 1,5 20

0,64 или 10 РЧ-интерфейс передатчик

приемопередатчик

16

14

16

–116

–126

личается малой потребляемой мощностью, которая составляет всего 9,5 мА при работе на частоте 868 МГц, при скорости цифрового потока принимаемых данных 1,2 кбит/c, тем самым AX8052F143 демонстрирует превосходные в своем классе показатели. Функциональная схема AX8052F143 показана на рис. 8. Размещенный в верхней части схемы блок приемопередатчика управляется через регистры, отображаемые в X‑пространстве данных микроконтроллера. У блока приемопередатчика есть собственный буфер FIFO на 256 байт. Микроконтроллер может получить программируемое прерывание по уровню заполнеwww.kite.ru


78

компоненты

Рис. 8. Функциональная схема AX8052F143

Рис. 9. Схема трансивера с дипольной антенной и отладчиком на основе AX8052F143

ния FIFO или дать команду одному из каналов DMA на передачу данных между ОЗУ и FIFO приемопередатчика. Подключенный к FIFO радиоконтроллер занимается обработкой пакетов данных приема/передачи. Подсистема генерации радиочастоты включает полностью интегрированный синтезатор, умножающий опорную частоту от кварцевого генератора, чтобы получить необходимую несущую частоту для трансивера. Современная архитектура синтезатора позволяет задавать частотное разрешение в 1 Гц, а также быстрое время пробуждения и переключения с приема на передачу, которое может составлять 5–50 мкс, в зависимости от настроек. Трансивер AX8052F143 использует два режима работы. В одном из них для работы на прием (RX) и передачу (TX) применяются два антенных вывода ANTP и ANTN и внутренний переключатель RX/TX (рис. 9). Этот режим рекомендован для максимальной выходной мощности, наивысшей чувствительности и прямого соединения с дипольными антеннами. В другом режиме RX использует выводы ANTP и ANTN, тогда как для работы на передачу нужен только один вывод ANTP1. При этом требуется внешнее переключение RX/TX или раздельные антенны. Такой вариант рекомендуется для малой выходной мощности и высокой эффективности и может быть использован с внешними усилителями. Вывод PB3 предназначен для управления переключателем внешних антенн. Принимаемый аналоговый сигнал усиливается в блоке LNA и попадает на смеситель, после чего сигнал промежуточной частоты проходит через аналоговый фильтр на АЦП. Далее данные попадают на цифровой фильтр и демодулятор, который извлекает битовый поток из входящего сигнала. Программная настройка этих блоков должна соответствовать схеме модуляции и битрейту принимаемого сигнала. ПО Axsem RadioLab помогает определить оптимальные настройки через соответствующие регистры, установленные при включении устройства. Блок пакетной обработки (Framing Unit) позволяет извлечь пакеты данных из поступающего от демодулятора потока данных или преобразовать передаваемые пакеты в битовый поток для модулятора. Блок энкодера, расположенный между блоком пакетной обработки с одной стороны и блоками модулятора и демодулятора с другой, выполняет кодирование и декодирование потоков данных. Он может выполнять: • инвертирование битового потока; • дифференциальное кодирование, означающее, что «0» передается без изменения уровня несущей, а «1» передается с измененным уровнем; • манчестерское кодирование; • спектральную обработку передаваемого потока.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


компоненты

Рис. 10. Отладочный комплект DVK‑2

Работа энкодера программируется через специальный регистр AX5043_ENCODING. Также предусмотрены специальные регистры для управления работой канального фильтра, модулятора и демодулятора. Трансивер AX8052F143 использует отдельный регулятор напряжения для обеспечения стабильного питания внутренних цепей от первичного источника, подключаемого через вывод VDD_IO. Выводы VDD_ANA могут быть использованы для питания внешних антенных усилителей приема/передачи. Система регулятора напряжения должна быть установлена в соответствующее состояние до начала приема или передачи. Это выполняется автоматически за счет программирования режимов работы устройств через регистр AX5043_PWRMODE. AX8052F143 является однокристальным решением, совместимым с рядом стандартных приложений для «Интернета вещей». Чувствительность встроенного трансивера составляет –126 дБм. При скорости передачи данных 0,1–125 кбит/c, рабочей частоте 27– 1050 МГц, при низком уровне фазового шума AX8052F143 является идеальным устройством для многих применений, включая системы автоматизированного снятия показаний и безопасности. Средний потребляемый ток при этом составляет всего лишь около 4,5 мкА. Основные области применения для AX8052F143: • работающие в диапазоне 27–1050 МГц радиосистемы; • «Интернет вещей»; • системы автоматического считывания показаний приборов и датчиков; • системы безопасности; • системы автоматизации зданий; • беспроводные сети; • системы передачи коротких сообщений.

Средства разработки: отладочные и демонстрационные наборы Для упрощения и значительного ускорения процесса разработки, отладки и демонстрации новых радиопередатчиков на базе микросхем Axsem предлагается два отладочных комплекта: DVK‑2 и F143‑Mini-DVK.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

79

Рис. 11. Отладочный комплект F143‑Mini-DVK

Комплект DVK‑2 (рис. 10) предназначен для работы со всеми РЧ-трансиверами и микроконтроллерами Axsem, обладает расширенными функциональными возможностями, имеет встроенный ЖК-дисплей, основную плату размером 9045 мм и дополнительные модули размером 3034 мм на основе всех выпускаемых РЧ-микросхем Axsem. DVK‑2 выполнен на базе МК AX8052F100. DVK‑2 позволяет проектировать, программировать и оценивать в реальных условиях различные приложения, использующие РЧ-трансиверы и микроконтроллеры Axsem. В качестве дополнения имеется несколько отдельных плат с различными микросхемами Axsem, оснащенные разъемами типа SMA для подключения антенн или лабораторной нагрузки 50 Ом. DVK‑2 содержит: • AX8052F100; • интерфейс с РЧ-модулями; • кварцевый резонатор, 32 кГц; • два светодиода; • четыре кнопки; • строчный ЖК-дисплей 216; • интерфейс RS‑232; • выходной порт; • отладочный разъем; • батарею и разъем питания. В комплекте с DVK‑2 используются генераторы кода, отладчик и бесплатный компилятор Си. Миниатюрный комплект для отладки F143‑Mini-DVK (рис. 11) состоит из адаптера USB и двух РЧ-модулей (F143‑Mini-A‑MOD и F143‑Mini-B‑MOD) и имеет полный комплект ПО для разработки радиочастотной системы в кратчайшие сроки. Один из миниатюрных РЧ-модулей оснащен встроенной антенной и может питаться от двух миниатюрных батареек, другой снабжен разъемом SMA для подключения внешней антенны. Рабочая несущая частота у обоих модулей 868,3 МГц. F143‑Mini-DVK работает с ПО AXRadioLab и AXCode::Blocks, использует бесплатный компилятор. F143‑Mini-DVK полностью совместим с DVK‑2 и ПО Axsem для разработки на базе микроконтроллеров AX8052.

F143‑Mini-DVK включает: • модуль F143‑Mini-A‑MOD; • модуль F143‑Mini-B‑MOD; • адаптер отладки через USB; • отладочный кабель; • кабель USB; • две батарейки. F143‑Mini-DVK может быть использован для разработки систем автоматического удаленного считывания показаний приборов, систем безопасности и домашней автоматизации, пультов управления, беспроводных сенсорных сетей. F143‑Mini-DVK совместим с беспроводной шиной M‑Bus, c протоколом POCSAG.

Программное обеспечение для работы с системами Axsem Для успешной работы с продуктами Axsem в дополнение к отладочным платам предлагается целый ряд бесплатных программных инструментов, обеспечивающих очень удобную рабочую среду, которую не часто предлагают для 8‑битных МК (рис. 12). Комплект инструментального ПО AX8052 включает следующие программы: • AX-RadioLab и AXGen2‑RadioLab имеют удобный визуальный графический интерфейс и обеспечивают эффективную настройку параметров радиосвязи для РЧмикроконтроллеров или для комплекта из AX8052F100 и одного из трансиверов Axsem. AX-RadioLab и AXGen2‑RadioLab генерируют исходный код на языке С, компилируют его и загружают в макетную плату или рабочее устройство. • AX-MicroLab — визуальный графический конфигуратор и генератор исходного кода для РЧ-микроконтроллеров Axsem AX8052F1xx. • AXCode::Blocks — интегрированная среда разработки представляет собой полный комплект инструментов, который обеспечивает создание и отладку проектов на C и выходной ассемблерный код для МК Axsem. AXCode::Blocks можно использовать для дальнейшей доработки кода проекта, созданного в генераторе. www.kite.ru


компоненты

80

– доступ к ЖК-дисплею оценочной платы. Программное обеспечение для работы с системами Axsem имеет интуитивно понятный интерфейс и значительно ускоряет процесс создания и отладки беспроводных приемопередатчиков субгигагерцевого диапазона.

Заключение

Рис. 12. Структура системы разработки ПО для AX8052F1xx

• SDCC — полнофункциональный компилятор языка С доступен для свободного скачивания, является частью интегрированной среды разработки AX8052, позволяя оптимизировать созданный код по скорости работы или размеру. • AXSDB является символическим отладчиком с командной строкой. AX-Radiolab и AXCode::Blocks непосредственно взаимодействуют с AXSDB без участия пользователя. Тем не менее AXSDB может быть полезен для автоматизации процесса или скриптовых задач благодаря своей командной строке и функции сценариев TCL. AXSDB является частью свободно скачиваемого программного пакета AX8052. • AX-EasyPacket — пример кода для РЧ-микросхем Axsem (для AX5043

новости

и AX8052F143, использующих AX-RadioLab, для AX5051 и AX8052F151, использующих AXGen2‑RadioLab). Он позволяет настроить комплекты отладки для оценки радиосвязи. • AX-ParamCalc представляет собой графический интерфейс для расчета значений регистров микроконтроллеров, соответствующих определенным параметрам радиолинии (для AX5043 и AX8052F143 использовать AX-RadioLab, для AX5051 и AX8052F151 — AXGen2‑RadioLab) • Библиотека поддержки AX8052 (LibMF) упрощает работу с AX8052 и включает следующие функции: – DebugLink UART; – RS‑232 UART; – запись в память FLASH; – инициализация и контроль радиосвязи; – подпрограммы CRC‑8 и CRC‑16;

Предлагаемые сегодня компанией ON Semiconductor для использования в субгигагерцевом диапазоне РЧ-контроллеры, передатчики и трансиверы могут найти применение в широком спектре устройств и систем автоматизации, включая системы сбора данных со счетчиков и датчиков, охранные системы, домашнюю автоматику, дистанционное управление, пейджинговые системы связи POCSAG и FLEX. РЧ-микроконтроллеры найдут свое место в сетях КОННЕКС, ZigBee и 6LoWPAN, станут основой для разнообразных применений «Интернета вещей». Всеобъемлющий и удобный комплект аппаратных и программных инструментов в помощь разработчику повышает привлекательность РЧ-компонентов ON Semiconductor. Высокие радиотехнические характеристики и серьезные вычислительные возможности, малое энергопотребление и конкурентоспособные цены делают решения ON Semiconductor привлекательными как для профессиональных разработчиков, так и для радиолюбителей. n

Литература 1. www.axsem.com/www/micros/ultra-low-power-rf 2. www.axsem.com/www/ax_documents/axsem_rf_ june14.pdf 3. www.onsemi.ru.com/pub_link/Collateral/ AX8052F143-D.pdf

силовая электроника

В Томске создадут силовые транзисторы нового поколения Ученые Томского государственного университета систем управления и радиоэлектроники (ТУСУР) и АО «НПФ «Микран» работают над созданием технологии производства транзисторов нового поколения для энергоэффективной преобразовательной техники. Как сообщает пресс-служба университета, в настоящее время в научно-образовательном центре «Нанотехнологии» ТУСУР создаются экспериментальные образцы нитрид-галлиевых транзисторов, которые по своим техническим характеристикам существенно превосходят кремниевые аналоги. Новая технология, разработанная в ТУСУР, прежде всего найдет применение в специализированных областях в составе техники, работающей в особых условиях, — например, в робототехнических комплексах арктического применения или в космических аппаратах, однако в перспективе эта технология будет использоваться в сфере промышленной электроники и энергосберегающей техники, а также на предприятиях радиоэлектронной промышленности. Широкое внедрение разрабатываемой в ТУСУР технологии позволит, с одной стороны, повысить эффективность преобразования электроэнергии,

а с другой — обеспечить импортозамещение кремниевых устройств современными силовыми приборами. Исследования в области нитрид-галлиевой технологии ведутся учеными всего мира, особенно активно такая работа проводится в США и Европе. Разработки ученых ТУСУР не уступают зарубежным аналогам, а для России этот проект, направленный в конечном итоге на создание серийной технологии, уникален. Разработка технологии изготовления силовых коммутационных транзисторов на основе нитрида галлия для создания энергоэффективных источников вторичного электропитания выполняется в рамках федеральной целевой программы «Исследования и разработки по приоритетным направлениям развития научно-технологического комплекса России на 2014–2020 годы». Одним из конечных результатов выполнения проекта станут рекомендации по внедрению разработанной технологии в производство, которое будет выполнено на технологической базе АО «НПФ «Микран». www.tusur.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


82

новости

суперконденсаторы

Суперконденсаторы для ИБП от Eaton для кратковременных рабочих циклов Компания Eaton стала первым производителем источников бесперебойного питания (ИБП), разработавшим комплексную систему резервного энергоснабжения, где вместо аккумуляторных батарей используются суперконденсаторы собственного изготовления. Новые суперконденсаторы в сочетании с ИБП Eaton обеспечивают удобную и доступную по цене альтернативу в тех случаях, когда требуется только кратковременная защита от отказов питания или невозможно применение аварийного питания от аккумуляторных батарей. Суперконденсаторы Eaton обладают значительными преимуществами по сравнению с традиционными батареями. Они значительно меньше весят, имеют срок службы до 20 лет при температуре 25 °C, не нуждаются в техническом обслуживании и применении специальных средств охлаждения, поскольку при их работе тепло почти не выделяется. Эти устройства оптимальны для тех сфер применения, где эксплуатация батарей не представляется возможной ввиду суровых условий и высокой температуры окружающей среды. Суперконденсаторы Eaton, особенно в сочетании с ИБП, обладают высокой масштабируемостью, благодаря чему их можно с высокой степенью точности адаптировать практически к любым режимам энергопотребления и автономности. При необходимости их можно легко модифицировать с учетом дальнейшего роста функциональных характеристик. В отличие от аккумуляторных батарей, суперконденсаторы не вырабатывают водород в процессе эксплуатации, таким образом, исчезает потребность в использовании средств удаления водорода. Наиболее широко ИБП на суперконденсаторах могут применяться вместе с резервными генераторами для обеспечения энергоснабжения при их запуске.

В числе их задач также защита от кратковременных перебоев энергоснабжения, возникающих в процессе эксплуатации сетевых систем распределения электроэнергии, например при аварийном включении резерва и автоматическом повторном включении (АПВ). Кроме того, они могут быть незаменимы в качестве буферных устройств пиковых нагрузок для компьютерных томографов и другого оборудования, чья работа связана с многократным повторением кратковременных циклов максимального энергопотребления. www.eaton.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


84

блоки питания

Блоки бесперебойного питания компании FARADAY Electronics

Николай Ревякин ren@faraday-el.com

Т

М FARADAY Electronics (www.faradayel.com) — предприятие, организованное специалистами России и Китая. Основное направление деятельности компании FARADAY Electronics — производство блоков питания малой мощности до 500 Вт собственной разработки, предназначенных для использования в охранных системах, системах пожарной безопасности, видеонаблюдения, доступа, а также способных взаимодействовать с телекоммуникационным оборудованием и другими устройствами. Широкий ассортимент выпускаемых изделий позволяет удовлетворить имеющиеся запросы потребителей. Одним из ключевых продуктов компании являются профессиональные бесперебойные источники питания, которые соответствуют международным стандартам по надежности и потребительским свойствам. Высокое качество конечных изделий обусловлено тем, что при разработке и производстве импульсных источников питания не используются упрощенные схемные решения и дешевые компоненты. Компания дорожит своей репутацией и зарегистрированной торговой маркой FARADAY Electronics. Основные преимущества продукции компании — высокий уровень качества, надежность и функциональность. При создании и изготовлении линейки блоков учитывались потребности и пожелания отечественных заказчиков. К особенностям блоков бесперебойного питания FARADAY Electronics следует отнести: • широкий диапазон входного и выходного напряжения; • защиту от внешних помех; • работу в широком температурном диапазоне; • высокую стабильность работы;

Надежность и стабильность электронных устройств, а тем более необслуживаемых и работающих в непрерывном режиме, в большей степени обусловлена качеством питающего напряжения. Его перепады в сети или кратковременные пропадания могут вывести из строя не только сам источник питания, но и питаемое устройство, а также привести к потере важной информации. Обеспечить безопасный режим работы круглосуточно функционирующего оборудования способны только источники бесперебойного питания. Блоки бесперебойного питания компании FARADAY Electronics — это современные технологии, поддерживающие высокий уровень надежности и безопасности в сочетании с универсальностью применений и практичным дизайном.

• высокий уровень надежности; • увеличенный срок гарантии. По типу применения блоки бесперебойного питания можно разделить на два основных класса. К первому классу относятся сетевые источники питания, которые обеспечивают на выходе бесперебойное постоянное номинальное напряжение 12 или 24 В. Ко второму классу — сетевые источники, поддерживающие на выходе переменное напряжение 220 В/50 Гц. В обоих типах в качестве резервного источника установлены необслуживаемые герметичные свинцово‑кислотные аккумуляторы с номинальным напряжением 12 В.

Маломощные бесперебойные источники питания FARADAY Electronics Блоки бесперебойного питания UPS 30W, UPS 45W, UPS 75W от компании FARADAY Electronics относятся к первому классу UPS и предназначены для питания маломощных электронных устройств, которые должны действовать в непрерывном режиме и обеспечивать работоспособность при провалах/пропадании входного сетевого напряжения (табл. 1). Эти устройства или системы не имеют своего сетевого источника и для питания используют источник постоянного напряжения с номиналом 12 В. Работа в аваТаблица 1. Выходные характеристики бесперебойных блоков питания

UPS 30Wt UPS 45Wt UPS 75Wt

Uвых (от сети 220 В), В

Iвых, А

Uзаряда, В

Iзаряда, А

Общая мощность, Вт

14,1 14,1 14,1

2 3 5

13,6–13,8 13,6–13,8 13,6–13,8

0,5 0,7 0,9

35 52 83

рийном режиме обеспечивается за счет энергии резервного источника питания — свинцовой аккумуляторной батареи с гелевым электролитом. Продолжительность работы в аварийном режиме определяется емкостью аккумуляторной батареи и потребляемой мощностью питаемого устройства. При наличии сетевого напряжения уровень выходного напряжения на всех моделях серии составляет 14,1 В. При пропадании сетевого напряжения питание происходит от свинцового аккумулятора. В этом случае диапазон выходного напряжения составит 10,2–13,8 В. Каждая модель данной линейки поставляется в следующих вариантах исполнения: • Open Frame (OPF) — модуль печатной платы с компонентами для установки в изделие заказчика; • Simple — блок питания в компактном алюминиевом корпусе с возможностью крепления на DIN-рейку; • BOX — пластиковый корпус с внутренним отсеком для установки свинцово‑кислотной АКБ емкостью 7 или 9 А·ч с тамперконтактом для подключения к системе охраны, колодкой предохранителя и возможностью крепления на DIN-рейку (DIN-держатель является конструктивом корпуса); • металлический BOX с местом под установку аккумулятора 7, 9 или 18 А·ч, монтажными отверстиями для крепления платы электроники, используемой заказчиком. Основные характеристики: • диапазон сетевого напряжения: 86–264 В AC, 110–360 В DC; • частота сети: 47–63 (400) Гц; • отключение АКБ от нагрузки при разряде до 10,6 В; • горячая замена АКБ; • подключение аккумулятора к нагрузке без сети 220 В;

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


блоки питания

• запуск блока питания без подключенной АКБ; • отсутствие провалов питания при переключении; • защита выхода от перегрузки, КЗ; • 100%-ная автоматическая защита выхода; • защита линии заряда от КЗ, перегрузки, переполюсовки; • мощность холостого хода: ≤1 Вт; • четыре информационных выхода; • индикация режима работы тремя светодиодами; • дополнительная плата индикации на бокс; • миниатюрный алюминиевый корпус, пластиковый бокс; • возможность поставки в пластиковом боксе с креплением на DIN-рейку; • сертификат ГОСТ Р, СЕ, UL; • гарантия 3 года. Функциональная схема бесперебойного блока питания и работа основных узлов Все блоки линейки маломощных бесперебойных блоков питания компании FARADAY Electronics имеют одинотипную структуру и схемотехнику базовых узлов. На рис. 1 показана функциональная схема бесперебойного блока питания FARADAY Electronics UPS 30–75W. Основными узлами блоков бесперебойного питания являются: сетевой импульсный преобразователь напряжения AC/DC в постоянное напряжение, зарядное устройство для подключаемого резервного источника (свинцового 12‑В аккумулятора), автомат переключения питания «сетевое питание/резервное». Конвертер сетевого напряжения бесперебойных блоков FARADAY Electronics выполнен на основе обратноходового импульсного конвертера напряжения с защитой от короткого замыкания и превышения напряжения. Стартовый ток ограничен термистором, защита от скачка входного напряжения построена на основе варистора и плавкого предохранителя. В печатной плате предусмотрена защита входных и выходных цепей блока от сверхнапряжений (статическое напряжение или наводки напряжения на проводах при близких ударах молнии). Наличие входного фильтра, трансформаторной развязки, оптимальной разводки проводников и расположения компонентов позволяет минимизировать электромагнитные помехи как в сторону сети, так и в эфир. Надежность и долговечность работы силовой части блока достигнуты за счет использования современной и качественной элементной базы: микросхемы ШИМ-контроллера, электролитических конденсаторов с повышенным ресурсом типа Long Life 105C, качественных ключевых компонентов. В качестве силового коммутационного устройства в схеме защиты аккумулятора предусмотрено реле. Это решение позволило свести потери коммутации между аккуму-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

85

Рис. 1. Функциональная схема бесперебойных блоков питания UPS 30/45/75W

лятором и выходом блока к минимуму. При максимальном токе на нагрузку (6 А для блока UPS 75W) потери в цепи блока составляют не более 0,15 В. Система контроля состояния и заряда аккумулятора Узел контроля состояния и заряда аккумулятора полностью автоматический. При наличии короткого замыкания на выходе, переполюсовки (в момент подключения аккумулятора) или перегрузки по току (например, когда из строя выходит одна из банок аккумулятора и ток заряда повышается за счет снижения внутреннего сопротивления) блок переходит в режим защиты. При этом ток цепи заряда снижается до единиц миллиампер. Схема не содержит плавких и самовосстанавливающихся предохранителей, поэтому не нуждается во вмешательстве оператора и не требует ремонта после возникновения описанных неисправностей. Ток отсечки с аккумулятора на нагрузку (как при работе от сети 220 В, так и без нее) может быть отрегулирован подстроечным резистором, установленным на плате модуля UPS. Схема защиты от глубокого разряда аккумулятора срабатывает при достижении напряжением порогового значения 10,2–10,6 В в зависимости от нагрузки: чем больше ток нагрузки, тем выше напряжение отсечки. При обнаружении превышения максимального тока на нагрузке или при достижении напряжения полного разряда аккумулятора система полностью отключает аккумулятор и не потребляет от него энергию. При появлении нормального сетевого напряжения система подсоединяет аккумулятор к цепи заряда. Заряд аккумулятора происходит автоматически постоянным напряжением с ограничением максимального тока при наличии разности напряжений между аккумулятором и узлом заряда. При достижении напряжения в 13,6–13,8 В на клеммах аккумулятора ток

Рис. 2. Графики заряда аккумуляторов для блоков различной мощности

заряда стабилизируется на уровне 20–50 мА в зависимости от емкости и типа подключенного аккумулятора. Ток холостого хода (сеть 220 В отключена, нагрузка отключена) составляет 20 мА для UPS 30W/45W и 40 мА для UPS 75W, таким образом, в режиме ожидания на поддержание работоспособности система потребляет минимальный ток (рис. 2). Опционально возможна установка внешнего терморезистора с фиксацией на корпусе аккумуляторной батареи для термокомпенсации напряжения заряда аккумулятора. Эта функция позволяет увеличить срок службы аккумулятора. Запуск блока питания возможен и при отсутствии сети 220 В. Для этого на плате блока предусмотрена микрокнопка, при кратковременном нажатии на которую происходит подключение аккумулятора к системе и нагрузке. Аккумулятор будет подсоединен к нагрузке, если соблюдена полярность, нет перегрузки по току на нагрузку в момент подключения и напряжение на клеммах аккумулятора более 10,6 В. Статусная светодиодная индикация Бесперебойные блоки питания можно использовать как готовое отдельное устройство с индикацией на внешней крышке либо же www.kite.ru


86

блоки питания

Рис. 3. Базовый модуль линейки UPS (30/45/75 Вт) в бескорпусном исполнении OPF (Open Frame)

внутри изделия с индикацией непосредственно на плате источника. Состояние блока характеризуется тремя светодиодными индикаторами: • желтый светодиод: постоянно светится — сеть 220 В в норме, моргает — сработала защита по входу; • красный светодиод: постоянно горит — напряжение на АКБ ниже уровня 12,8 В; • зеленый светодиод: горит — на выходе БП номинальное напряжение. Информационные выходы Кроме визуальной светодиодной индикации, блоки UPS линейки имеют выходы типа «открытый коллектор», которые могут быть использованы в системах общей телеметрии бесперебойного питания для сигнализации о следующих событиях: • наличие/отсутствие напряжения на выходе блока; • наличие/отсутствие сети 220 В; • разряд аккумулятора ниже 11 В;

Рис. 4. Общий вид исполнения блоков UPS (30/45/75 Вт) в алюминиевом корпусе (Simple)

• проблема в цепи заряда аккумулятора — короткое замыкание, переполюсовка, превышение тока заряда. Информационные выходы могут управлять реле с током не более 30 мА или быть подключены непосредственно к процессору или иному устройству с подтяжкой выходов к напряжению питания через ограничивающий резистор. Конструкция В таблице 2 приведены габаритные размеры для каждого варианта исполнения бесперебойных блоков питания FARADAY Electronics. Конструктивные решения при разработке данных моделей позволили создать компактные алюминиевые корпуса, стойкие к механическим воздействиям. Возможность крепления на DIN-рейку делает корпуса FARADAY Electronics универсальными для использования в системах охраны, доступа, видеонаблюдения, автоматики. На рис. 3–6 показаны общие виды ма-

Рис. 5. Общий вид корпуса (исполнение Box) для размещения блоков UPS (30/45/75 Вт) с отсеком для резервного источника питания — свинцово‑кислотного аккумулятора

ломощной линейки моделей UPS FARADAY Electronics в исполнениях Open Frame (OPF), алюминиевом компактном корпусе ALU Simple, пластиковом Box и металлическом корпусах. Все модели комплектуются кабелем со стандартными разъемами для подключения аккумулятора и платой индикации для крепления на корпус конечного изделия (крепление на двусторонний скотч).

Таблица 2. Габаритные размеры моделей UPS FARADAY Electronics

Алюминиевый корпус ALU (Simple), мм Модули Open Frame (OPF), мм Пластиковые боксы (Box) под установку АКБ 7–9 А·ч, мм Металлический бокс, мм

UPS 30W

UPS 45W

UPS 75W

101×59×29

110×68×31

134×74×37

97,5×55,5×23 107×65×32

127×69×32

210×180×100 220×80×240

205×85×305

Рис. 6. Металлические корпуса с отсеками под установку аккумуляторов с емкостью 7 или 9 А·ч

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


блоки питания

Порядок работы с блоком, основные функции, особенности При подключении блока питания сначала необходимо подсоединить провода переменной сети, затем провода нагрузки. Подключение батареи произвести после подачи переменного тока на вход блока. При работе от сети 220 В блок автоматически заряжает батарею до 13,8 В, по окончании заряда ток, потребляемый аккумулятором, снизится до 10–50 мА, в зависимости от типа и емкости батареи. В случае пониженного напряжения на батарее (из-за внутренней неисправности) менее 9 В такая батарея к блоку подключаться не будет. Блок войдет в режим защиты цепи заряда от перегрузки. В случае переполюсовки при подключении батареи или короткого замыкания между контактами кабеля аккумуляторной батареи блок питания войдет в режим защиты цепи заряда аккумулятора с автоматическим восстановлением. В режиме работы от аккумулятора подключение происходит автоматически. Блок не запустится в следующих случаях: • неправильно подключены контакты батареи (переполюсовка); • напряжение на батарее ниже 10,6 В; • ток на нагрузку выше номинального тока, указанного в данном описании. Батарея будет автоматически отключена от нагрузки (при работе без сети 220 В), если напряжение на контактах батареи опустится ниже 10,4–10,6 В. Заряд батареи восстановится автоматически при возобновлении питания по сети 220 В. Данные модели бесперебойных блоков питания не нуждаются в обслуживании.

новости

Основные области применения блоков бесперебойного питания ТМ FARADAY Electronics: • охранно-пожарные системы; • системы разграничения доступа; • системы видеонаблюдения; • медицинское оборудование; • системы передачи данных; • кассовое оборудование; • системы бесперебойного питания. Д о с т о и н с т в а м и б л о к о в FA R A D AY Electronics по сравнению с конкурентами являются высокая степень защиты и надежности, практичность использования, а также безупречный дизайн.

Гарантии производителя При отсутствии механических повреждений и следов влаги гарантия на бесперебойные блоки питания составляет 3 года. Использование серийных номеров для маркировки каждого изделия позволяет определить дату производства конкретного блока и защитить продукцию ТМ FARADAY Electronics от подделок. Проверить серийный номер любого из поставляемых ТМ FARADAY Electronics изделий можно на сайте www.faraday-el.com. На блоки питания, комплектуемые штатными аккумуляторами FARADAY Electronics, срок гарантии увеличивается еще на 1 год. Минимальный гарантированный срок эксплуатации при условии применения оригинальных комплектующих FARADAY Electronics — не менее 8 лет.

87

• наличие комплексной автоматической защиты блоков питания от перепадов входного напряжения, короткого замыкания, от перегрузок; • наличие опций термозащиты и грозозащиты цепей питания в отдельных моделях; • для исполнений с креплением блоков питания на DIN-рейку — возможность использования как винтовых соединений, так и фиксаторов. Кроме того, ТМ FARADAY Electronics предоставляет услугу бесплатной разработки блоков питания по техническому заданию заказчика в случае размещения серийного заказа. Другим преимуществом компании является предоставление услуг при разработке, производстве и поставке электронных модулей. При этом возможна консультация и участие специалистов компании в разработке как электронных модулей, так и корпусов для выпускаемых изделий. ТМ FARADAY Electronics имеет представительства в Санкт-Петербурге, Краснодаре, Киеве, Шеньжене, Варшаве, Дубае, Альбукерке. Продукция сертифицирована в России, Украине и странах ЕС. n

Заключение

Официальные представители на территории Российской Федерации: • ООО «Блоки питания Фарадей Санкт-Петербург» Тел. +7 (812) 908 48 55, e-mail: spb@faraday-el.com • ООО «Блоки питания Фарадей Юг» Тел. +7 (978) 868 52 32, e-mail: sd@faraday-el.com

Бесперебойные источники питания FARADAY имеют следующие преимущества: • компактные размеры корпусов;

По любым вопросам и предложениям просьба обращаться по адресу: info@faraday-el.com.

события

Международная научно-техническая конференция «Сертификация ЭКБ‑2016» С 20 по 22 апреля 2016 года в Санкт-Петербурге состоится международная научно-техническая конференция «Пути решения задач обеспечения современной радиоэлектронной аппаратуры надежной электронной компонентной базой» («Сертификация ЭКБ‑2016»). В программе конференции предлагается заслушать и обсудить на пленарных заседаниях и круглых столах доклады ведущих специалистов Минпромторга России, Минобороны России, Роскосмоса, РАН и Минобрнауки России, предприятий — разработчиков и изготовителей ЭКБ и РЭА, испытательных сертификационных центров ЭКБ, квалифицированных поставщиков ЭКБ.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

К обсуждению предлагаются следующие вопросы: • вопросы и проблемы обеспечения импортозамещения при производстве ЭКБ для высоконадежной аппаратуры; • методология выбора и применения ЭКБ ОП и ИП для особо надежной аппаратуры; • оптимизация программ и методов испытаний ЭКБ, обеспечивающих высокую достоверность и снижение стоимости испытаний; • надежность и радиационная стойкость современной ЭКБ и особенности оценки надежности и стойкости ЭКБ в аппаратуре атомно-энергетического и космического комплексов;

• современные роль и место стандартизации и сертификации в обеспечении комплектации аппаратуры высоконадежной ЭКБ. Место проведения: Санкт-Петербург, отель ParkInn («Пулковская»), пл. Победы, 1, корп. 2. Время проведения: 20–22 апреля 2016 года. Начало работы конференции в 10:00. Для участия в конференции необходимо направить заявку в оргкомитет по e‑mail: baturin@ elstandart.spb.ru. Узнать подробнее о мероприятии, а также скачать бланк заявки можно на сайте www.elstandart.spb.ru.

www.kite.ru


88

новости

системы безопасности

Комплексная архитектура безопасности для аутентификации по отпечаткам пальцев с использованием носимых устройств ное проверить помещенный на датчик отпечаток пальца на соответствие биометрическим данным конкретного пользователя. Gemalto также поставляет большое количество безопасных приложений и сетевой концентратор Allynis Trusted Services Hub (TSH), отвечающий за управление решением в течение всего срока службы. Компания Fingerprint Cards предлагает самые современные сенсорные датчики отпечатков пальцев с крайне низким энергопотреблением и компактным форм-фактором. Компания Precise Biometrics предоставляет ПО для анализа отпечатков пальцев, работающее на базе решения Precise BioMatch Embedded — лидера отрасли среди алгоритмов распознавания отпечатков пальцев. Решение предлагает удобное и безопасное распознавание отпечатков пальцев для продуктов с небольшими датчиками на ограниченных платформах, таких как смарт-карты, «умная» одежда, автомобили, замки и маркеры для персональной идентификации. STMicroelectronics поставляет свое решение ST54 в едином модуле, состоящем из контроллера коммуникаций ближнего поля ST21NFC и интегрированного элемента безопасности ST33 на основе 32‑битной системы ARM SecurCore SC300, где

содержится приложение Gemalto для биометрического сопоставления данных Match-On-Card. Также STMicroelectronics поставляет STM32 ARM Cortex-М на базе микроконтроллера малой мощности для управления приложением. Новая архитектура избавит пользователей от сложностей, связанных с необходимостью запоминать многочисленные комбинации имени пользователя и пароля для доступа к важным приложениям, таким как платежные системы, безопасная электронная почта и правительственные программы электронных удостоверений личности. Это решение предоставит возможность для реализации новых решений в области безопасной проверки данных пользователя на рынке потребительской электроники, базирующиеся на спецификациях FIDO Alliance, и предоставит производителям комплектной потребительской электроники возможность выделиться среди конкурентов. Обеспечивая более простое применение приложений без ущерба для безопасности, биометрическая проверка подлинности по отпечаткам пальцев представляет собой кардинальную смену правил в мире безопасной мобильной связи, электронных платежей, сервисов eCitizen и многого другого. www.gemalto.com

Реклама

Компании Gemalto, Fingerprint Cards, Precise Biometrics и STMicroelectronics представляют первую в мире комплексную архитектуру безопасности для биометрической аутентификации по отпечаткам пальцев на выставке Mobile World Congress 2016, предлагая изготовителям комплексного оборудования возможность легко встроить эту удобную в использовании функцию в последние поколения портативных носимых и потребительских электронных устройств. Новая экспериментальная версия заменяет обычную пару «имя пользователя/пароль» для входа в самые различные приложения (платежные сервисы, электронные билеты, цифровой доступ) и предлагает двухфакторную аутентификацию с быстрым и безопасным распознаванием отпечатков пальцев. Совместные усилия различных компаний продемонстрируют революционное решение, внедренное в смарт-часы со встроенным датчиком отпечатков пальцев от Fingerprint Cards, ПО для анализа отпечатков Precise Biometrics, а также решением Secure NFC и микроконтроллерами малой мощности от STMicroelectronics. Gemalto предоставляет продукт UpTeq eSE, на котором хранятся учетные данные пользователей, а также приложение Match-On-Card, способ-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


беспроводные технологии

89

Многоканальные распределенные системы радиомониторинга TORNADO-RxMTCA

Олег Васильев, к. т. н. info@radioservice.ru Павел Семенов, к. т. н. info@mlabsys.ru

Модульный принцип построения аппаратуры радиомониторинга Одним из наиболее перспективных методов построения распределенных в пространстве многоканальных и многофункциональных широкополосных систем радиоконтроля и радиомониторинга является модульный принцип. В отношении аппаратной части модульный принцип предполагает использование стандартных готовых аппаратных модулей радиоприема, обработки и передачи данных, причем предпочтительно, чтобы все аппаратные компоненты системы соответствовали современному и перспективному промышленному международному стандарту построения аппаратуры в стандартных конструктивах и с использованием стандартных высокоскоростных интерфейсов передачи информации. Это позволит минимизировать стоимость аппаратуры и ее обслуживание за счет применения стандартных аппаратных компонентов, даже несмотря на возможную незначительную аппаратную избыточность. Дальнейшее использование внутрикорпоративных стандартов интерфейсов и конструктивов представляется бесперспективным. Модульный принцип обязательно должен распространяться и на структуру программного обеспечения (ПО) системы, состоящей из набора стандартных программных модулей управления сканированием, обработки и передачи данных, анализа результатов. Все ПО-модули должны взаимодействовать между собой через стандартные программные интерфейсы.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Сегодня заказчик аппаратуры радиоконтроля и радиомониторинга хочет иметь в рамках заданного бюджета максимальный функционал изделия и возможность полностью переносить его в разные конструктивные исполнения. При этом должно быть предусмотрено дальнейшее наращивание числа каналов, пространственно распределенное размещение аппаратуры и удаленное управление. Распространенный принцип построения уникальной и дорогой аппаратуры радиомониторинга типа «одно изделие — один конструктив и функционал» постепенно уходит в прошлое. Современные требования диктуют необходимость применения новых системных, технологических и конструктивных подходов для построения этой аппаратуры.

При соответствии указанным выше нормам модульный принцип построения системы многоканального радиомониторин-

га позволит заказчику быстро компоновать и переконфигурировать систему радиомониторинга в соответствии с конкретными

Рис. 1. Пример структурной схемы распределенной системы многоканального радиомониторинга на базе линейки аппаратуры TORNADO-RxMTCA

www.kite.ru


90

беспроводные технологии

требованиями, а при необходимости наращивать ее с помощью дополнительных аппаратных и/или программных модулей. При этом изначальные инвестиции всегда будут работать на решение текущих задач. Отвечая современным веяниям, компания «Радиосервис» (www.radioservice.ru), разработчик и производитель РЧ-аппаратуры и систем радиомониторинга, и фирма «МикроЛАБ Системс» (www.mlabsys.ru), разработчик и изготовитель аппаратуры цифровой обработки сигналов (ЦОС), объявили о совместном выпуске принципиально новой линейки аппаратуры радиомониторинга TORNADO-RxMTCA с модульным принципом построения на базе аппаратно-программных и конструктивных требований современных стандартов PICMG 3.0, AMC.0 и MicroTCA.0, позволяющей клиентам быстро и самостоятельно менять конструктив и назначение изделия от компактного автономного устройства до многоканальной пространственно распределенной системы в соответствии с поставленными задачами, с полным сохранением функционала и без дополнительных финансовых вложений. На сегодня новейшие промышленные стандарты PICMG AMC.0 и MicroTCA.0 (www.picmg.org), предназначенные для построения модульной телекоммуникационной аппаратуры, являются наиболее перспективными в плане компактности, модульности, состава и скорости внутренних межмодульных сериальных интерфейсов (10Gbps, 40Gbps, 100Gbps), а также высокой надежности за счет резервирования и горячей замены. Аппаратура стандарта MicroTCA широко применяется для построения базовых станций сотовой связи и беспроводного доступа 3G и 4G. Пример многоканальной распределенной системы радиомониторинга на базе линейки TORNADO-RxMTCA представлен на рис. 1. Система включает четыре удаленных компактных устройства многоканального радиомониторинга TORNADO-RxmMTCA, которые через интерфейсы 1GbE LAN, оптоволоконные линии 10GbE и IP-сеть связаны с центральной станцией обработки и управления на базе устройства TORNADORxMTCA или TORNADO-MTCA.

Рис. 2. Структурная схема AMC-модуля многоканального радиомониторинга TORNADO-ARX1

Компоненты линейки аппаратуры TORNADO-RxMTCA Линейка аппаратуры радиомониторинга TORNADO-RxMTCA построена на базе новейшего AMC-модуля TORNADO-ARX1 (рис. 2) многоканального радиомониторинга (9 кГц – 3 ГГц) и ЦОС совместной разработки компании «Радиосервис» и фирмы «МикроЛАБ Системс», выполненного в стандарте PICMG AMC.0 R2.0 (Advanced Mezzanine Card). Для расширения входного диапазона частот к AMC-модулю TO R N A D O - A R X 1 о п ц и о н а л ь н о п од ключаются внешние командно-управляемые конвертеры 6, 12, 21 и 40 ГГц фирмы «Радиосервис». Другими модульными компонентами построения линейки аппаратуры радиомони-

Рис. 3. Супервысокопроизводительный AMC-модуль ЦОС/ПЛИС TORNADO-A6678

торинга TORNADO-RxMTCA являются супервысокопроизводительные AMC-модули ЦОС/ПЛИС TORNADO-A6678 (рис. 3) фирмы «МикроЛАБ Системс» с 8‑ядерными процессорами ЦОС Texas Instruments TMS320C6678 и ПЛИС Xilinx Virtex‑7, AMC-модули T/AX-DSFPX (рис. 4) фирмы «МикроЛАБ Системс» дальней сетевой 10GbE оптоволоконной коммуникации, а также стандартные инфраструктурные компоненты модульных систем стандарта PICMG MicroTCA.0 R1.0 (Micro Telecommunications Computing Architecture), включающих разнообразные шасси MicroTCA (рис. 5), модули управляющих контроллеров MCH (рис. 6), eMCH со встроенными высокоскоростными неблокирующими коммутаторами потоков 10 GbE и модули источников питания PM (рис. 7).

Рис. 4. AMC-модуль дальней сетевой 10‑GbE оптоволоконной коммуникации T/AX-DSFPX-A

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


беспроводные технологии

91

а

Рис. 7. Модуль источника питания (PM) переменного тока для систем MicroTCA с выходной мощностью 600 В б

Рис. 5. а) Стандартное 19″ 1U шасси MicroTCA; б) мини-шасси MicroTCA

спектрального анализа, обнаружителей различных сигналов и измерения их параметров, демодуляторов и т. д. Необходимые для работы ПО-модули загружаются во FLASH-память AMC-модуля по любому из LAN-портов и автоматически запускаются в нужной конфигурации при включении питания. Состав ПО-модулей определяется функциональным назначением конкретного изделия. При необходимости заказчик может приобретать дополнительные ПО-модули.

а

Рис. 6. Управляющий модуль (MCH) для систем MicroTCA с высокоскоростным коммутатором потоков 10GbE

AMC-модуль многоканального радиомониторинга TORNADO-ARX1 AMC-модуль TORNADO-ARX1 (рис. 2) содержит субмодуль мониторингового РЧ-приемника (9 кГц – 3 ГГц) разработки фирмы «Радиосервис» и несущий AMC-модуль разработки фирмы «МикроЛАБ Системс». РЧ-приемник имеет структуру классического супергетеродинного приемника с двойным преобразованием частоты и полосой ПЧ 24 или 70 МГц, включая систему преселекторов. Несущий AMC-модуль включает четыре 16‑разрядных АЦП (A/D), управляемый генератор частоты выборки с малыми шумами и высокой стабильностью (Fs), ПЛИС, опциональные внешние порты 10+ Gbps SFP+ и LAN 1GbE RJ‑45, а также системный контроллер модуля (MMC) и вторичный источник питания (P/S). ПЛИС содержит функции высокоскоростного процессора ЦОС (ПЦОС), управляющего контроллера для ПЦОС и РЧ-приемника, двух скоростных AMCинтерфейсов межмодульного обмена (Fabric-D/E/F/G AMC.2 10GBASEBX4 и 40GBASE-CX4, AMC.4 4x 5Gbps Serial RapidIO, AMC.1 4x 5Gbps PCIe), управляющего LAN-порта #0 Fabric-A 1GbE AMC-интерфейса, а также опциональных внешних портов SFP+ и LAN. Скорость радиомониторинга АМС-модуля TORNADO-ARX1 достигает 160 ГГц/с, что позволяет регистрировать очень короткие РЧсигналы и впоследствии дистанционно скачивать и анализировать их из памяти модуля. Прикладное ПО AMC-модуля TORNADO-ARX1 построено по модульному принципу и включает программные модули радиосканеров,

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

б Рис. 8. Компактное устройство удаленного многоканального радиомониторинга TORNADO-RxmMTCA на базе AMC-модуля TORNADO-ARX1, коммуникационного AMC-модуля T/AX-DSPX-A и двухслотового мини-шасси MicroTCA: а) внешний вид; б) блок-схема

www.kite.ru


92

беспроводные технологии

Модульные устройства многоканального радиомониторинга TORNADO-RxMTCA

а

б

Рис. 9. Устройство удаленного многоканального радиомониторинга TORNADO-RxMTCA на базе двух AMC-модулей TORNADO-ARX1, AMC-модуля ЦОС/ПЛИС TORNADO-A6678, коммуникационного AMC-модуля T/AX-DSPX-A и шестислотового 19″ 1U шасси MicroTCA с коммутатором потоков 10GbE: а) внешний вид; б) блок-схема

На базе АМС-модуля TORNADO-ARX1 достаточно просто строить многоканальные системы радиомониторинга, а также многопозиционной пеленгации источников сигнала. Фото простейшего компактного устройства TORNADO-RxmMTCA удаленного многоканального радиомониторинга представлено на рис. 8. Устройство включает AMC-модуль TORNADO-ARX1 с опциональными внешними конвертерами 6, 12, 21 и 40 ГГц, AMCмодуль T/AX-DSFPX-A дальней сетевой 10GbE оптоволоконной коммуникации на расстояния до 10 км, а также двухслотовое мини-шасси MicroTCA с «пассивной» кросспанелью без коммутатора потоков. Размеры устройства составляют 24032043 мм при весе около 2 кг. Устройство управляется дистанционно через IP-сеть и 1GbE LAN-порт шасси (в том числе и через Wi-Fi), а РЧданные реального времени и результаты обработки передаются через одно или два оптоволоконных соединения 10GbE на расстояния до 10 км с помощью коммуникационного AMC-модуля T/AX-DSFPX. Простейшая организация потоков данных внутри устройства предусматривает передачу необработанного оцифрованного РЧ-потока с выхода ПЧ-приемника и блока обработки данных (многоканальные DDC, обнаружители, демодуляторы, трекеры и т. п.) в виде одного суммарного потока через порт Fat Pipe #0 AMC-интерфейса AMC-модуля TORNADO-ARX1 в соответствующий Fat Pipe порт коммуникационного AMC-модуля T/AX-DSFPX-A и далее через 10GbE SFP+ порт и оптоволоконную линию на расстояние до 10 км в центр обработки. В зависимости от конкретного приложения возможны и другие варианты организации потоков через оба порта Fat Pipe #0 и #1 AMCинтерфейсов AMC-модулей TORNADOARX1 и T/AX-DSFPX-A с задействованием обоих 10GbE SFP+ портов. Конфигурация потоков данных определяется загруженным ПО AMC-модуля TORNADO-ARX1. Неограниченное число таких устройств может быть объединено в единую систему радиомониторинга с общим центром обработки. Благодаря поддержке протокола PTP AMC-модулями TORNADO-ARX1 и T/AXDSFPX вся система синхронизируется в едином времени с точностью до нескольких наносекунд, что позволяет строить на их основе и системы пеленгации. От описанного выше простейшего устройства можно перейти к более сложному супервысокопроизводительному устройству радиомониторинга TORNADO-RxMTCA с мощной локальной ЦОС, внешний вид и блок-схема которого представлены на рис. 9.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


беспроводные технологии

а

б

Рис. 10. Компактное устройство удаленного многоканального радиомониторинга TORNADO-RxmMTCA на базе AMC-модуля TORNADO-ARX1, AMC-модуля ЦОС/ПЛИС TORNADO-A6678 и двухслотового мини-шасси MicroTCA: а) внешний вид; б) блок-схема

Это дистанционно управляемое устройство включает два AMC-модуля TORNADO-ARX1 многоканального радиомониторинга с опциональными внешними конвертерами 6, 12, 21 и 40 ГГЦ, супервысокопроизводительный AMC-модуль ЦОС/ПЛИС TORNADO-A6678, AMC-модуль T/AX-DSFPX дальней сетевой 10GbE оптоволоконной коммуникации на расстояния до 10 км и стандартное 6‑слотовое 19″ 1U шасси MicroTCA с модулем управляющего контроллера MCH с коммутатором потоков 10GbE и модулем питания PM. Аналогично описанному выше простейшему устройству, управление таким решением осуществляется дистанционно через IP-сеть и 1GbE LANпорт шасси, а данные реального времени и результаты обработки передаются через четыре оптоволоконных соединения 10GbE

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

на расстояния до 10 км, подключаемых к портам SFP+ AMC-модуля T/AX-DSFPX и управляющего контроллера MCH. Концепция MicroTCA предполагает, что потоки данных всех AMC-интерфейсов внутри такого устройства MicroTCA замыкаются на центральный коммутатор 10GbE управляющего контроллера MCH, который позволяет создавать и конфигурировать локальные подсети и изолировать потоки данных 10GbE друг от друга в зависимости от конкретного приложения. Пример организации потоков данных (рис. 9б) внутри этого устройства включает маршрутизацию исходных ПЧпотоков с выходов каждого из AMC-модулей радиомониторинга TORNADO-ARX1 через порты Fat Pipe #1 соответственно в порты Fat Pipe #0 и #1 AMC-интерфейса AMCмодуля ЦОС/ПЛИС TORNADO-A6678 для

93

комплексной многоканальной ЦОС внутри TORNADO-A6678 с использованием его суперпроизводительного 8‑ядерного процессора ЦОС TMS320C6678 и ПЛИС Xilinx Virtex‑7 (многоканальные демодуляторы, декодеры протоколов и т. п.), которые из-за своей сложности не могут быть реализованы внутри AMC-модулей радиомониторинга TORNADO-ARX1. Результаты многоканальной обработки с выхода AMC-модуля ЦОС/ПЛИС TORNADO-A6678 через порты Fat Pipe #0 и #1 его AMC-интерфейса поступают обратно в коммутатор потоков модуля MCH и, смешиваясь с выходными потоками обработанных данных с портов Fat Pipe #0 AMC-интерфейсов AMC-модулей радиомониторинга TORNADO-ARX1, поступают в соответствующие порты Fat Pipe #0 и #1 коммуникационного AMC-модуля T/AX-DSFPX-A, а затем через 10GbE порты SFP+ в оптико-волоконные линии до 10 км в центр обработки. Опять же, конфигурация потоков данных определяется загруженным ПО AMC-модулей TORNADO-ARX1 и TORNADO-A6678, а также конфигурацией центрального 10‑GbE коммутатора управляющего контроллера MCH. Все ПО и конфигурация коммутатора MCH загружаются дистанционно через IP-сеть. Еще одно компактное супервысокопроизводительное устройство TORNADORxmMTCA удаленного многоканального радиомониторинга с мощной локальной ЦОС представлено на рис. 10. Это дистанционно управляемое устройство включает один AMC-модуль TORNADO-ARX1 многоканального радиомониторинга с опциональными внешними конвертерами 6, 12, 21 и 40 ГГц и супервысокопроизводительный AMC-модуль ЦОС/ПЛИС TORNADO-A6678. Устройство построено на базе двухслотового мини-шасси MicroTCA с пассивной кросс-панелью без коммутатора потоков. Размеры и вес устройства аналогичны TORNADO-RxmMTCA (рис. 8). Однако по сравнению с ним данное устройство обладает мощной ЦОС, позволяющей ему осуществлять сложную обработку выходных ПЧ-потоков данных с AMC-модуля многоканального радиомониторинга TORNADO-ARX1 в реальном времени внутри AMC-модуля TORNADO-A6678 с использованием его суперпроизводительного 8‑ядерного процессора ЦОС TMS320C6678 и ПЛИС Xilinx Virtex‑7, включая функции многоканальной демодуляции и декодирования протоколов. В этом случае децимированные по времени выходные низкоскоростные потоки данных с модуля ЦОС/ПЛИС TORNADO-A6678 могут передаваться либо по IP-сети через порт 1GbE RJ45 управляющего контроллера eMCH, либо по оптоволоконному 10GbE соединению через порты SFP+, расположенные на передней панели модулей TORNADO-ARX1 и/или www.kite.ru


94

беспроводные технологии

TORNADO-A6678. В последнем случае максимальная длина оптоволоконного соединения составляет 300 м, однако с помощью внешнего стандартного оптоволоконного ретранслятора длину соединения можно увеличить до 10 км.

Автономное устройство многоканального радиомониторинга на базе AMC-модуля TORNADO-ARX1 Уникальной особенностью AMC-модуля TORNADO-ARX1 является его способность работать в автономном режиме без каких-либо других AMC-модулей и компонентов систем MicroTCA, включая шасси MicroTCA. Для этого достаточно установить AMCмодуль TORNADO-ARX1 в специальный компактный автономный корпус, который реально содержит только источник питания +12 В, и подключить AMC-модуль к локальной IP-сети через встроенный LAN-порт. В случае приема «необработанных» РЧданных реального времени необходимо также подключиться к 10GbE порту SFP+ на передней панели AMC-модуля, используя либо 10Gbps модуль SFP+ оптоволоконного трансивера на расстояние до 300 м, либо 10Gbps

модуль SFP+ пассивного медного трансивера на расстояние до 5 м. Как и в случае описанных ранее устройств, неограниченное число таких автономных суперкомпактных автономных устройств локального радиомониторинга может быть объединено в единую систему совместно с более сложными устройствами.

Программное обеспечение Во всех рассмотренных устройствах радиомониторинга на базе AMC-модуля TORNADO-ARX1 используется одно и то же ПО AMC-модуля, а потребитель может перестраивать систему, комбинируя нужное число AMC-модулей TORNADO-ARX1 с другими необходимыми AMC-модулями и инфраструктурными компонентами систем MicroTCA в зависимости от решаемой задачи. Объединенные в единую распределенную систему многоканального радиомониторинга, все устройства управляются дистанционно через IP-сеть с одного ПК, используя единое ПО, состав которого определяется конкретной задачей. ПО всех концевых устройств распределенной системы многоканального мониторинга загружается либо автоматически из встроенной памяти, либо «апдейтируется» или подгружается дистанционно с управляющего ПК.

новости

Заключение Таким образом, можно строить многоканальные системы радиоконтроля, радиомониторинга и радиоразведки как набор распределенных в пространстве устройств, выполняющих свою работу автономно и соединенных высокоскоростными каналами связи на дальние расстояния. При этом каждое устройство состоит из стандартного набора аппаратных модулей в стандартных промышленных конструктивах, использующих стандартные ПОмодули. Система представляет собой единый комплекс, связи в котором стандартизированы, а их число неограниченно. В заключение необходимо особо выделить несколько существенных достоинств модульных распределенных систем многоканального радиомониторинга на базе линейки аппаратуры TORNADO-RxMTCA. Это общее удаленное централизованное управление всей системой с одного ПК, децентрализованная параллельная обработка данных, работа и синхронизация всей системы в едином времени с высокой точностью, а также возможность длительной регистрации РЧсигналов с любого AMC-модуля TORNADOARX1 и, соответственно, возможность архивирования исходных радиосигналов с последующим постанализом записанных данных и созданием новых алгоритмов обработки. n

силовая электроника

Реклама

Новая микросхема управления питанием светодиодов от «Ангстрем» Конструкторы ОАО «Ангстрем» разработали и запустили в производство новую модификацию микросхемы управления питанием светодиодов. Линейный регулятор тока An6923 позволяет значительно снизить количество компонентов в блоке питания LED-светильников. Микросхема управления питанием светодиодов и собранный на ее базе LED-драйвер является одним из главных узлов светодиодного светильника. Работа драйвера с использованием новой микросхемы An6923 осуществляется от сети переменного тока напряжением 85–264 В. Он имеет высокую эффективность и коэффициент мощности, а также низкий коэффициент искажений. Выходной ток может быть установлен внешним резистором до 60 мА с точностью до ±5%. Новая интегральная схема An6923 дополнила широкий перечень LEDдрайверов, которые в большом количестве поставляются отечественным и иностранным производителям светодиодной продукции. Главная особенность нового драйвера — отсутствие катушки и конденсатора, что значительно удешевляет его. Также отсутствие магнитных компонентов исключает проблему возникновения электромагнитных импульсов и, соответственно, решает вопрос с электромагнитной совместимостью. В отличие от выпускаемых «Ангстремом» универсальных драйверов, An6923 имеет интеллектуальную температурную защиту, характеризующуюся отсутствием мерцания. Кроме того, новая микросхема управления питанием поддерживает работу сразу трех цепей светодиодов. Основной сферой применения драйвера являются бытовые светильники, а также устройства для подсветки витрин и небольших помещений. www.angstrem.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


максимально увеличить гибкость системы; минимизировать время задержки;

Реклама

уменьшить стоимость решения.


96

встраиваемые системы

Одноплатный компьютер Raspberry Pi: от учебного пособия до промышленного контроллера Анна Сергеева annserge@rambler.ru Сергей Кривандин sergey.krivandin@rsrussia.ru

Компактные одноплатные микрокомпьютеры способны зачастую решать практически все те же задачи, что и стационарные модели, но при этом они более энергоэффективные, легкие, малогабаритные и дешевые. Raspberry Pi, пожалуй, самый популярный одноплатный микрокомпьютер с высокой производительностью и разнообразным применением.

В

статье представлен обобщенный опыт использования Raspberry Pi в практических приложениях: в промышленности, автоматизации, телекоме, ИТ, рекламе. Обзор подготовлен компанией RS Components [1], глобальным поставщиком товаров для инженеров и официальным поставщиком Raspberry во всем мире. В обзоре рассмотрено применение Raspberry Pi без технических подробностей, которые можно уточнить в оригинальной публикации. Однако в некоторых разделах для удобства читателей указаны номера для заказа в RS Components дополнительных компонентов, предусмотренных в описываемом проекте.

Плата Raspberry Pi как основа компьютера Создатель Raspberry Pi Эбен Аптон (Eben Upton), будучи ИТ-специалистом с большим практическим опытом, ориентировался на развитие детского образования в сфере компьютерных наук [2]. Свой дешевый одноплатный компьютер он построил на чипе компании Broadcom, в которой работает с 2006 года. Raspberry Pi — это миниатюрный одноплатный модуль с подключаемой периферией и питанием. Он работает под бесплатной операционной системой Raspbian на базе Linux. На рынке представлен целый ряд моделей Raspberry Pi (табл. 1), самой популярной из них является Raspberry Pi 2 Model B (рис. 1). Во всех предыдущих моделях использован одноядерный ARM-процессор Broadcom BCM2835 с частотой 700 МГц. Raspberry Pi 2 содержит более мощный процессор — 32‑разрядный Broadcom BCM2836, в котором 4 физических ядра ARM Cortex-A7 с частотой 900 МГц. Объем памяти увеличен вдвое, до 1 Гбайт. Благодаря этому заявленная производительность увеличена в 6 раз, что

Рис. 1. Внешний вид одноплатного микрокомпьютера Raspberry Pi 2 Model B

Рис. 2. Диаграмма развития моделей одноплатного компьютера Raspberry Pi

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


встраиваемые системы

Таблица 2. Дополнительные модули для подключения к Raspberry Pi

Таблица 1. Параметры популярных моделей Raspberry Pi Модель

Raspberry Pi Raspberry Pi B+ A+

Raspberry Pi 2 model B

Процессор

Broadcom BCM2835, 700 МГц, ARM1176JZ-F

Broadcom BCM2836, 900 МГц, ARMv7, 4 ядра

Графический сопроцессор Память SDRAM, объем, частота Внешняя память Количество USB 2.0-портов 10/100 Ethernet Видеоразъемы Разрешение видео, пикс Звук GPIO, шт. Питание Ток потребления, мА Размеры, мм

VideoCore IV, 2 ядра 512 Мбайт, 400 МГц

256 Мбайт, 400 МГц

97

Параметры

RS-номер для заказа

Сенсорный дисплей 7″ Touch Screen LCD

Разрешение: 800×480 пикс Угол обзора: 70° Простота подключения Источник питания: USB, 500 мА

899-7466

Wi-Fi-адаптер

Не требует настройки Макс. скорость: 150 Mbps Wi-Fi: 802.11 b/g/n USB 2.0 Поддерживает NOOBS и Raspbian ОС Размер: 30×16×8 мм

892-0012

Плата расширения Sense HAT с датчиками

Плата с набором LED-индикаторов, датчиков температуры, влажности, давления, акселерометром, гироскопом, магнитометром, 5-кнопочным джойстиком

894-9310

Плата расширения Power Over Ethernet

Внешний источник питания не нужен Простота установки: мезонинная плата Тип PoE: 802.3af (mode A and B) Изоляция вход/выход 1,5 кВ Защита от перегрузки, короткого замыкания и перегрева, КПД 87% Входное напряжение 36–56 В, выходное напряжение 5 В стаб. Выходной ток 10–1300 мА Максимальная выходная мощность 6,5 Вт

897-7141

Источник питания Official Raspberry Pi Power Supply

Вход 90–264 В/50 Гц Выход 5 В/2 А постоянного тока Выходной разъем Micro-USB

822-6373

Корпус из пластика Pi Case

Корпус для Raspberry Pi 2 model B или Raspberry Pi B+ Материал корпуса: ABS-пластик Есть место для дополнительных плат Места крепления для видеокамер Размеры: 94×63×32 мм Варианты цвета: черный, белый, прозрачный

908-4215 908-4212 908- 4218

Наименование

Фото

1 Гбайт, 450 МГц

MicroSD 4

1

4

Есть

Нет

Есть

HDMI, композитный RCA от 640×350 до 1920×1200 HD через HDMI, стерео через 3,5-мм джек 40 5 В, Micro-USB 600

200

800

85×56×17

65×56×12

85×56×21

позволяет обрабатывать большие объемы информации. Raspberry Pi 2 полностью совместим с предыдущими моделями, все прикладные программы работают корректно. Развитие модельного ряда одноплатных компьютеров Raspberry Pi, начиная с первого Raspberry Pi B, анонсированного для массовых продаж в начале 2012 года, приведено на рис. 2. Четко прослеживается тенденция развития двух параллельных линеек: более мощных моделей B и облегченных версий A (рис. 2 и табл. 1). Устройства линейки A с меньшим объемом памяти, отсутствием 10/100 Ethernet и всего одним USB-портом не пользуются спросом у отечественных разработчиков. Raspberry Pi Compute Module, обозначенный на рис. 2 как CM, — по сути, та же модель B+, в которой внешняя память на SD-карте заменена флэш-памятью, а сам модуль реализован на малогабаритной плате размерами 6830 мм со стандартным 200‑пиновым SODIMM-совместимым разъемом. Он введен для возможности применения на объединительной плате, но в разъем памяти Raspberry Pi Compute Module вставлять нельзя. В конце февраля 2016 года вышел новый Raspberry Pi 3 model B на еще более мощном 64‑разрядном 4‑ядерном Broadcom BCM2387 ARM Cortex-A53 с частотой 1,2 ГГц. На плату добавлены модули Wi-Fi 802.11 b/g/n и Bluetooth 4.1 LE. Более мощный процессор и дополнительные модули потребовали и более мощного источника питания 5,1 В/2,5 А. Разработчики особо подчеркивают, что прежние источники питания для Raspberry Pi пригодны только для предыдущих моделей. Новый одноплатный микрокомпьютер Pi 3 полностью совместим с предшествующими версиями. В мире продано более 8 млн различных моделей Raspberry Pi. В России, по нашим оценкам, объем продаж составил около 50 тыс. штук, то есть менее 1% мирового рынка.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Внешние модули для Raspberry Pi Для подключения источника питания на плате Raspberry Pi предусмотрен разъем микро-USB. Нужно подобрать высококачественный источник с напряжением 5 B и выходным током, на 10–20% больше указанного в таблице 1. Например, есть источник питания Raspberry Pi Universal Power Supply, одобренный разработчиками Raspberry, с выходом 5 В/2 А (RS-номер 822-6373). Количество и параметры внешних периферийных устройств, подключаемых к Raspberry Pi, зависят от конкретного приложения. В качестве дисплея подойдет любой монитор или телевизор с возможностью подключения через кабель HDMI или DVI. Клавиатура и мышь — любые со стандартным подключением по USB. Для подсоединения к локальной или глобальной Ethernet-сети нужен кабель с RJ‑45. Для подключения к беспроводным сетям разработчики рекомендуют модуль Raspberry Pi USB WiFi Dongle (RS-номер 892-0012). Для воспроизведения звука предусмотрено подключение внешних наушников или колонок через стандартный jack-разъем 3,5 мм. В зависимости от решаемой задачи к Raspberry Pi можно подсоединить и другие компоненты.

Наиболее популярные из них представлены в таблице 2. Фактически пользователи получают в свое распоряжение конструктор, из которого можно собирать разноплановые системы: стационарный компьютер, планшет, контроллер для управления различными устройствами. Полностью собранный и готовый к работе компьютер на базе Raspberry Pi может выглядеть, например, как на рис. 3 [3].

Рис. 3. Вариант компьютера на Raspberry Pi с подключенной периферией

www.kite.ru


98

встраиваемые системы

Рис. 4. Схема подключения внешнего термодатчика к Raspberry Pi

Операционные системы для Raspberry Pi На Raspberry Pi изначально можно установить Linux ОС. Рекомендована Raspbian, основанная на Debian, возможна Pidora на основе Fedora. Полезна встроенная открытая ОС Open Embedded Linux Entertainment Centre (OpenELEC), представляющая собой полный медиацентр. Поддерживаются также RaspBMC, RISC OS, Arch Linux, Ubuntu Mate, Snappy Ubutu Core, PiNet, OSMC. Большая часть дистрибутивов этих ОС входит в программный инсталляционный пакет New Out Of Box Software (NOOBS), поставляемый на SD-карте с RS-номером 875-2692 [1]. Особо следует отметить, что модели Raspberry Pi 2 и Pi 3 могут работать не только под Linux, но и под управлением Windows 10 I o T C o r e , ч т о п о з в ол я е т п е р е н о с и т ь на Raspberry ранее написанные под Windows и отлаженные прикладные программы, в том числе производственного назначения. Модули и устройства на основе Raspberry Pi 2 и Pi 3 под управлением Windows 10 IoT Core могут стать основой различных промышленных систем автоматизации и управления, домашних интеллектуальных систем, подключаемых к «Интернету вещей». Рекомендованная ОС Raspbian поставляется с набором предустановленных программ, который содержит стандартные браузер, калькулятор, текстовый редактор, игры, а также среду разработки Python, визуальную игровую среду для обучения программированию Scratch, базу знаний и набор вычислительных алгоритмов Wolfram и другое. Разнообразное ПО на языках Python, C, C++, Java и Ruby можно скачивать с Pi Store [4] — аналога AppStore и GooglePlay.

Рис. 6. Схема управления электроприборами с Raspberry Pi

Сетевые ресурсы Существует большое число интернет-сообществ, в которых инженеры-электронщики делятся опытом успешного внедрения Raspberry Pi. В частности, раздел инженерного сайта DesignSpark [5] от компании RS Components, посвященный одноплатнику Raspberry, один из самых насыщенных и посещаемых. Здесь специалисты высказывают интересные идеи, ведут блоги, публикуют обзоры и реализованные проекты. На сайте разработчиков проекта Raspberry Pi Foundation [2] доступна теоретическая база с подробными методиками, инструкциями и бесплатными онлайн-курсами.

Модули на основе Raspberry Pi В простых реализациях Raspberry Pi используется как модуль для выполнения относительно несложных функций. Разумеется, только включать и выключать лампочку или измерять температуру — это слишком мелкая задача для многофункционального компьютера. Однако авторы считают необходимым привести несколько примеров модульного применения Raspberry Pi, поскольку такие решения могут пригодиться при построении более сложных технических систем. Сам по себе Raspberry Pi способен выполнить функцию датчика температуры [2], поскольку его система-на-кристалле (SoC) имеет температурный сенсор. Он контролирует, как нагревается чип в процессе работы, и может применяться для измерения температуры окружающей среды, в частности в помещении или внутри оборудования с Raspberry. Есть пример shell-скрипта, который будет исполняться автоматически при запуске модуля,

проводить замеры температуры с определенным интервалом времени и сохранять результаты в лог-файлах. Для создания корректных временных меток можно использовать подключение к Интернету или установить часы реального времени. Заявленный предел отклонения — менее 2 мин в год. Raspberry Pi может считывать данные с внешних термодатчиков [6]. На рис. 4 показан пример подключения однопроводного термометра DS18B20 от компании Maxim Integrated (RS-номер 540-2805) к 4‑му пину GPIO на плате Raspberry Pi. Для программного подключения к датчику в ОС Raspbian на стороне Raspberry Pi необходимо модифицировать файл конфигурации /boot/config.txt, открыв условный интерфейс по 4GPIO. После рестарта компьютер считывает данные с датчика. На Raspberry Pi можно реализовать беспроводную точку доступа [7], подключив к нему USB Wi-Fi-адаптер (рис. 5) и настроив конфигурацию сети. Можно также сделать программируемый цифровой таймер [8] и/или управлять раз-

Рис. 5. Raspberry Pi как беспроводная точка доступа

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


встраиваемые системы

Рис. 7. Схема медиацентра на базе Raspberry Pi

личными внешними устройствами: включать/выключать электрическую розетку [9], управлять светильником, двигателем или другими силовыми нагрузками (рис. 6).

Промышленные применения Raspberry Pi Raspberry Pi для медиаприложений Одноплатник Raspberry Pi способен обеспечить независимое сетевое соединение, поэтому его часто используют для управления сетевыми жесткими дисками с SSH-доступом или для пересылки файлов по FTP. А если помимо подключения и настройки конфигурации внешнего HDD установить на компьютер какой-либо торрент-клиент, можно получить полноценный медиацентр [10] с возможностью воспроизведения предварительно закачанных фильмов и музыки без ощутимых задержек. Поскольку Raspberry Pi поддерживает технологию Consumer Electronics Control (CEC), для управления подсоединенными устройствами можно использовать пульт телевизора (рис. 7). Благодаря компактности и дешевизне Raspberry Pi применяют для управления информационными и рекламными табло в офисах и бизнес-центрах, на остановках общественного транспорта или в аэропортах. В частности, российские компании используют Raspberry Pi 2 в качестве медиаплеера контента в рекламе, распространяемой в больших торговых центрах, а также в автобусах и маршрутных такси. Есть примеры использования Raspberry в информационной системе поликлиники для управления дисплеями перед врачебными кабинетами. Дисплеи нужны для информирования пациентов о времени и последовательности приема, рекламы лечебных возможностей поликлиники и т. д. Одна из студий крупной телерадиокомпании применяет Raspberry Pi в системе обработки звука.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

99

Рис. 8. Суперкомпьютер на Raspberry Pi

Применение в ИТ На инженерных форумах можно найти большое число примеров реализации всевозможных контроллеров сетевых дисков, веб-серверов, маршрутизаторов на базе Raspberry Pi. Возможности подключения и настройки модулей для обмена по Wi-Fi, Ethernet, USB, а также поддержка обмена данными и файлами через SSH и FTP позволяют использовать его и для решения разнообразных ИТ-задач. Дополнительным преимуществом Raspberry Pi является поддержка практически всех разновидностей ОС семейства Linux, доступность приобретения и низкая стоимость. Отечественные ИТ-компании широко применяют Raspberry Pi в качестве тонких клиентов, терминалов доступа к серверу. Ряд компаний создает на основе Raspberry устройства управления маршрутизаторами, IP-видеокамеры, элементы систем безопасности и различные сетевые устройства. Интересен опыт построения суперкомпьютера на Raspberry Pi сотрудниками Саутгемптонского университета (Велико-

британия) [11]. Все платы объединены в локальную сеть Ethernet, обмен данными производится через SSH. Для управления процессами, работающими на нескольких узлах, настраивается программный интерфейс MPI. Программируемая конфигурация системы позволяет задействовать от 2 до 64 модулей Raspberry Pi, подключая к каждому из них в качестве ПЗУ SD-карты памяти объемом 16 Гбайт. Соответственно, общий объем дискового пространства полученного кластера может достигать 1 Тбайт. Для привлечения внимания к проекту в качестве «серверных шкафов» использованы детали от популярного конструктора Lego (рис. 8). Raspberry Pi в телекомe На одноплатном компьютере Raspberry Pi легко реализовать офисную мини-АТС типа Asterisk, вся конфигурация выполняется программно. Бюджетный GSM-шлюз на базе Raspberry Pi можно построить, подключив 3G-модем и настроив драйвер канала Asterisk для USB-модемов и переключатель

Рис. 9. Схема организации международных вызовов через GSM-шлюзы на Raspberry Pi

www.kite.ru


100

встраиваемые системы

Рис. 10. Смартфон PiPhone на основе Raspberry Pi

режимов USB [2]. Шлюз требует запуска пакета FreePBX для Raspberry RasPBX. Пара таких шлюзов позволяет совершать международные вызовы по стоимости локальных вызовов с обычных VoIP-телефонов (рис. 9). Заявленное качество соединения 64 кбит/с по SIP-каналу на расстояние между шлюзами составляет до 10 тыс. км. Энтузиасты собирают различные варианты мобильных телефонов на базе Raspberry Pi, например PiPhone [2] — полнофункциональный смартфон (рис. 10). Raspberry Pi в промышленной автоматизации К системам промышленной автоматизации предъявляются высокие требования по безаварийному функционированию,

быстрому восстановлению после сбоев, высокой производительности при желательно низком энергопотреблении. На платформе Raspberry Pi создаются самостоятельные промышленные компьютеры, которые можно легко адаптировать к собственным требованиям, подбирая доступные варианты оборудования. Компактность и широкие возможности подключения с совместимыми модулями дают множество вариантов размещения устройств. Низкое энергопотребление Raspberry Pi позволяет использовать режимы энергосбережения, чтобы сократить затраты. Поддержка Linux и Windows 10 в случае Pi 2 и Pi 3 обеспечивает стабильность и безаварийную работу на уровне ОС. Промышленный компьютер ModBerry 500 (рис. 11) на базе Raspberry Pi Compute Module способен выполнять функции встроенного компьютера, многоканального модуля телеметрии, ПЛК, промышленного модема и роутера LTE/3G/GPRS, конвертера протоколов и интерфейсов, а также модуля спутниковой навигации GPS [12]. В Р о с с и и т о же е с т ь р а з р а б о т ч и к и устройств промышленной автоматизации, которые модернизируют промышленный контроллер, используя в качестве основного вычислительного и управляющего узла плату Raspberry Pi. Другая российская компания применяла в своих станках дорогой промышленный компьютер в качестве основного управляющего модуля, а сейчас для этих целей тестирует применение Raspberry Pi. Существует пакет программ REX Control System [6] для проектов автоматизации. Программы позволяют превратить одноплатный компьютер Raspberry Pi в универсальный управляющий модуль. Пакет состоит из среды графического программирования RexDraw, компилятора RexComp, исполнительной программы RexCore,

Рис. 12. Структура системы управления и автоматизации на базе Raspberry Pi под управлением REX Control System

Рис. 11. Промышленный компьютер ModBerry 500 на базе Raspberry Pi

утилиты диагностики RexView (рис. 12). Реализована обработка многопоточности исполняемых задач. Поддерживаются все модели Raspberry Pi и совместимые платы расширения от третьих поставщиков PiFace Digital, Intellisys PIO и UniPi. П о т е х н о л о г и и P OW E R L I N K [ 1 3 ] на Raspberry Pi 2 можно реализовать промышленный Ethernet-протокол, что позволяет строить системы промышленной автоматизации и управлять сигналами двигателей, датчиков, приводов, реле и многого другого (рис. 13). Заявлена пропускная способность 100 Мбит/с, частота синхронизации 0,1 мкс, поддержка сети до 240 узлов, то есть до 460 тыс. цифровых каналов с длиной линий между узлами от 100 м до 2 км.

«Умный дом» под контролем Raspberry Pi Системы домашней автоматизации на платформе Raspberry Pi успешно справляются с задачами удаленного мониторинга температуры и влажности воздуха в помещении, систем отопления, газоснабжения, безопасности и сигнализации. Такие системы

Рис. 13. Схема организации сети по технологии POWERLINK на Raspberry Pi 2

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


встраиваемые системы

Рис. 14. Система домашней автоматизации под управлением Raspberry Pi

состоят из набора контроллеров, территориально распределенных по объекту и соединенных между собой по шине RS‑485. Каждый контроллер обслуживает одно или несколько устройств и может действовать автономно. Шина RS‑485 через преобразователь RS‑485/UART подключается к веб-серверу, организованному на Raspberry Pi [6]. Вебинтерфейс системы позволяет управлять всеми устройствами и получать от них информацию о состоянии. Можно организовать дистанционное управление по мобильным сетям через GSM-модем (рис. 14). Аналогичные системы применяют и для удаленного мониторинга состояния оборудования в серверных комнатах: о наличии/отсутствии сбоев, замыканий, воспламенений, перегревов техники.

Raspberry Pi в робототехнике Raspberry Pi активно применяется для создания наземных и летающих роботов. Шасси при этом выбирают исходя из конкретной задачи. Это может быть стандартная промышленная платформа, или основа от детской игрушки [2], или распечатанная на 3D-принтере модель [14]. Рассмотрим интересный с инженерной точки зрения автономный колесный робот с компьютерным зрением [15], в котором применены сразу две открытые платформы: Raspberry и Arduino, а весь проект отличается тщательной инженерной проработкой (рис. 15).

101

В качестве контроллера двигателей автор применил плату Arduino Nano (RS-номер 696-1667), которая обеспечивает необходимые быстроту и точность управления раскруткой двигателя. Поскольку ток на выходе Arduino Nano слишком мал для непосредственного управления двигателем, нужно или использовать H‑мост из четырех ключей, реализованный на любой подходящей ИС, или применить шилд arduino motor driver. Добавим от себя, что это мог быть шилд Arduino Motor Shield Rev3 с RS-номером 758-9349. Для вычисления общего курса робота по принципу компьютерного зрения в качестве главного контроллера взят Raspberry Pi B+. Программа для него написана на интерпретаторе Python, который имеется в стандартном комплекте Raspbian. Автор применил видеокамеру Raspicam, отметив ее достоинства: она небольшая, легкая, есть отдельный порт для ее подключения, широкий угол обзора, хороший драйвер и 90 фпс в VGA-разрешении. Система питания включает литий-полимерный аккумулятор емкостью 2 А·ч с номинальным выходным напряжением 7,2 В и импульсный понижающий DC/DC-преобразователь с выходом 5 В/800 мА для питания Raspberry и Arduino. За счет разделения вычислительных задач между Raspberry и Arduino достигается высокая скорость реакции системы. Одноплатные микрокомпьютеры Raspberry Pi широко применяются в дронах. В России ведутся несколько независимых разработок для решения различных практических задач: геологоразведка, контроль трафика на автомагистралях, анализ загруженности перекрестков и т. д. Здесь с помощью специального ПО Raspberry Pi вычисляет направление движения

Применение в офисной и торговой технике Внушительная вычислительная мощность, малые габариты и сравнительно низкая цена предопределяют широкое распространение Raspberry Pi в офисном и торговом оборудовании. Это и управляющая плата дисплея, и терминальный доступ к серверу, и блок POS. Можно применить Raspberry в качестве системной платы в устройстве контроля цен (прайсчекере) в торговом зале. Иногда стандартные функции офисной техники не устраивают заказчика, и тогда на основе Raspberry можно расширить функциональные возможности, например построив на Raspberry систему защиты информации для безопасности коммерческих данных предприятия. С целью повышения качества обслуживания компании контролируют телефонные переговоры операторов. Можно использовать Raspberry в офисных АТС в приборах учета и записи разговоров операторов с клиентами.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Рис. 15. Автономный колесный робот с компьютерным зрением на платформах Raspberry Pi и Arduino

www.kite.ru


102

встраиваемые системы

устройства и распределяет нагрузку на моторы [15, 16]. Аппаратная производительность гарантирует выполнение всех функций в режиме реального времени. К Raspberry Pi (рис. 16) подключается видеокамера, изображение с которой транслируется по беспроводному соединению на наземный компьютер.

Заключение На базе одноплатного компьютера Raspberry Pi создаются производительные и надежные системы и устройства в самых разных сферах: промышленные компьютеры, системы автоматизации, веб-серверы, роутеры, медиацентры, колесные и летающие роботы, системы «умного» освещения, контроля различных параметров и многое другое. Приведенный обзор не ограничивает другие возможные приложения. Фантазия и инженерная смекалка отечественных разработчиков найдут для Raspberry Pi новые интересные применения. n

Литература

5. www.designspark.com 6. www.rexcontrols.com 7. www.recantha.co.uk 8. www.raspi.tv 9. www.mediaunix.com 10. www.ra4nal.qrz.ru

1. www.ru.rsdelivers.com 2. www.raspberrypi.org 3. www.farelectro.ru 4. www.store.raspberrypi.com

новости

Рис. 16. Квадрокоптер, управляемый Raspberry Pi

11. www.southampton.ac.uk 12. www.techbase.eu 13. www.ethernet-powerlink.org 14. www.edurobots.ru 15. www.geektimes.ru 16. www.rpiquadcopter.blogspot.ru

измерительная аппаратура

Новая Государственная поверочная схема для средств измерений электрического сопротивления

Реклама

Новая система передачи единицы электрического сопротивления направлена на удовлетворение потребностей ведущих отраслей промышленности (авиакосмической, оборонно-промышленного комплекса, судостроения, электроэнергетики, атомной энергетики, машиностроения и электронной промышленности) с учетом перспектив их развития. Обеспечивается возможность совершенствования существующих и разработки новых технологий в области создания новых материалов с заданными электрофизическими свойствами (например, резистивных сплавов с предельно низким температурным коэффициентом или изоляционных материалов с предельно высоким сопротивлением). www.gost.ru

Реклама

Приказом Росстандарта № 146 от 15.02.2016 утверждена новая Государственная поверочная схема (ГПС) для средств измерений электрического сопротивления. ГПС разработана ФГУП «ВНИИМ им. Д. И. Менделеева» в связи с утверждением нового Государственного первичного эталона, основанного на квантовом эффекте Холла. Впервые устанавливается прослеживаемость измерений электрического сопротивления в широком диапазоне частот (до 10 МГц), что метрологически обеспечивает создание новых отечественных измерителей иммитанса (RLC-метров). В целом диапазон измерений электрического сопротивления расширен в сторону малых и больших значений и охватывает 22 порядка величин (от 1 мкОм до 10 ПОм).

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


вентиляторы

104

Энергосберегающие вентиляторы компании Sunon Основной задачей нынешнего дня является уменьшение потребления электроэнергии, поэтому все чаще специалисты ориентируются на приобретение энергосберегающего оборудования.

Анастасия Шевырева shevyreva@microem.ru

П

роизводители вентиляторов также пытаются снизить потребление электроэнергии. Новым этапом развития в данном направлении стало появление энергосберегающих вентиляторов (ЕСвентиляторов). Компания Sunon, являясь одним из лидеров среди производителей вентиляторов, также готова представить свое решение. Применение энергосберегающих вентиляторов компании Sunon позволяет уменьшить энергопотребление, при этом увеличивая производительность оборудования и срок его бесперебойной работы. Энергопотребление уменьшается до 80%

по сравнению с обычными вентиляторами переменного тока. ЕС-вентилятор — это высокоэффективный бесщеточный синхронный вентилятор постоянного тока со встроенным электронным управлением, работающий от источника питания переменного тока. Принцип работы ЕС-вентилятора основан на интегрированном преобразователе переменного тока. В роторном магнитном поле, образующемся при помощи постоянных магнитов, в каждый момент времени контроллер вычисляет и подает на обмотку статора полярность тока, необходимую для обеспечения непрерывного вращения

Рис. 1. Устройство вентилятора ЕС-серии

Таблица 1. Сравнение вентиляторов ЕС/АС Размер, мм

Напряжение/частота

Скорость, RPM

Мощность, Вт

Воздушный поток, CFM

Статическое давление, In-H2O

Уровень шума, дБ

CFM/Вт

171×51 A2175-HBT.TC.GN

АС

220 В (50 Гц)

3200

26

203

0,62

51

8,8

250×78 CF2207LBL

ЕС

220 В (50 Гц)

1400 (Н) 950(L)

6,6 3,2

278,8 186

0,3 0,16

41,2 29,9

42,2 58,1

254×89 A2259-HBT.TC.GN

АС

220 В (50 Гц)

1600

23

425

0,27

54

18,5

ротора с определенной скоростью. ЕС вентиляторы компании Sunon подключаются непосредственно к сети переменного тока. Вентилятор компании Sunon энергосберегающей серии в разрезе представлен на рис. 1. На текущий момент компания Sunon представила на рынке ЕС-вентиляторы в двух типоразмерах: 12012038 и 25078 мм. Вентиляторы имеют две схемы включения: низкая/высокая скорость (дневное/ночное время) в зависимости от потребностей заказчика. В таблице 1 представлено сравнение таблица ЕС-вентилятора 25078 мм с вентиляторами переменного тока (АС) соответствующих размеров. Более подробно характеристики и габаритные параметры ЕС-вентилятора Sunon CF2207LBL‑0000‑A99 представлены в таблице 2 и на рис. 2. Преимущества использования ЕС-вентиляторов: • Уменьшенное потребление электроэнергии (до 80%). • Улучшенные технические характеристики. Компактные размеры при сравнительно высокой мощности. • Низкие шумовые характеристики. • Высокая степень IP-защиты. В стандартном исполнении IP55, возможно IP68. • Минимальное выделение тепла в окружающее пространство за счет очень малого нагрева двигателя. • Полная управляемость. Возможность регулировки скорости вентилятора в зависимости от потребностей заказчика. Быстрое и простое подключение. • Защита двигателя от механических воздействий. • Длительный срок службы — до 80 000 ч, или 9 лет. Единственный недостаток — более высокие первоначальные затраты на приобретение вентиляторов. Но эти затраты окупаются за 1,5–2 года за счет экономии электроэнергии. ЕС-двигатели являются одним из самых перспективных направлений в области производства вентиляторов. Они нашли широ-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


вентиляторы

105

Таблица 2. Характеристики вентилятора CF2207LBL‑0000‑A99 Низкая скорость Номинальное напряжение, В AC

220–240 (50/60 Гц)

Диапазон напряжения питания, В AC

180–250

Пусковое напряжение, В AC 950

1400

Воздушный поток

186 CFM

278,8 CFM

Статическое давление

0,16 Inch-H20

0,3 Inch-H20

Номинальный ток, А

0,035

0,066

Номинальная мощность, Вт

3,2

6,6

Уровень шума, дБ

29,9

41,2

Циркуляторы воздуха, имеющие в своем составе ЕС-двигатели, можно объединять в сеть с централизованным управлением.

–40…+50

Холодильные витрины, оснащение которых ЕС-двигателями впервые было инициировано фирмой Heatcraft Refrigeration Products (HRP), оказались очень эффективными, и в США энергетическая комиссия штата Калифорния включила использование EC-двигателей в состав обязательных требований при разработке нового холодильного оборудования. Таким образом, компактность, низкое энергопотребление, плавное и точное регулирование, низкий уровень шума, отсутствие вибрации, а также ряд других преимуществ ЕС-вентиляторов делает их несомненно интересными решениями. n

Реклама

кое применение в холодильной, вентиляционной технике, кондиционерах, тепловых насосах, системах кондиционирования.

180

Количество оборотов/мин

Рабочая температура, °С

Рис. 2. Чертеж вентилятора CF2207LBL‑0000‑A99

Высокая скорость

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

www.kite.ru


106

новости

встраиваемые системы

congateс предоставляет полную поддержку спецификации компьютеров‑на-модуле COM Express 3.0, Qseven 2.1 и SMARK 2.0

компьютеров‑на-модуле, имеющих малый форм-фактор (SFF — small form factor). Вместе со спецификацией COM Express 3.0 в основном будет предложено новое расположение выводов для серверов‑на-модуле. В качестве основных процессоров остаются ориентированные на использование в серверах процессоры Intel Xeon и Intel Core наряду со встраиваемыми процессорами AMD R‑серии, опционально — с процессорами ARM-архитектуры. С предоставляемым компанией congatec сервисом персональной интеграции разработчики без особого труда смогут интегрировать новые версии модулей в будущие разработки. Вследствие того что многие функции обратно совместимы с новыми версиями спецификации стандартов, в большинстве случаев представляется возможным без особых затрат модернизировать существующие базовые платы. www.congatec.com

Реклама

На выставке Embedded World компания congatec продемонстрировала всестороннюю поддержку новейших спецификаций группы SGET (Standardization Group for Embedded Technologies) и консорциума PISMG (PCI Industrial Computer Manufacturers Group) компьютеров‑на-модуле, а также находящиеся в разработке новые модели стандарта SMARK (Smart Mobility ARChitecture) 2.0, Qseven 2.1 и COM Express 3.0, которые соответствуют этим спецификациям и будут представлены широкой публике одновременно с выходом на рынок процессоров нового поколения. Компания сongatec планирует и далее осуществлять поддержку спецификации SMARK 2.0. Модули SMARK 2.0 станут доступными со всеми популярными у потребителя процессорами, начиная от Intel Atom и заканчивая различными процессорами с ARM-архитектурой. Наряду с этим компания congatec продолжит поддержку нынешнего поколения модулей Qseven 2.1, базирующихся на популярных энергоэффективных процессорах. Основное различие между этими двумя форматами заключается в количестве используемых интерфейсных линий — до 230 в Qseven и до 314 в SMARK 2.0. Стандарт SMARK в большей степени предназначен для систем с малыми размерами, оснащенных при этом широчайшим набором интерфейсов, в то время как Qseven идеальны для менее сложных низкопрофильных систем и базовых плат. Компания congatec сыграла значительную роль в разработке и становлении обоих стандартов. В частности, компания выступила в качестве редактора и, как следствие, главного поставщика технологий разработки компактных

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


108

новости

память

Карты памяти SDHC100I промышленного класса от Transcend эксплуатации: они не только устойчивы к ударным нагрузкам, но и могут функционировать в широком диапазоне температур –40…+85 °C. Изготовленные с применением высококачественных микросхем флэш-памяти типа SLC, карты Transcend SDHC100I отличаются более высокой стабильностью удержания данных и долговечностью, чем носители на основе памяти MLC, что позволяет применять их в таких встроенных решениях, как компьютеры автоматизации производства, инженерные механизмы, безвентиляторные ПК, медицинские и автомобильные компьютерные системы. Для обеспечения максимального уровня качества все продукты Transcend промышленного уровня проходят тщательное тестирование на всех этапах производства. В картах памяти Transcend реализовано множество функций и технологий, обеспечивающих оптимальный уровень защиты хранимой информации. В их числе такие механизмы, как функция ECC для обнаружения и коррекции ошибок, возникающих в ходе передачи данных, технологии мониторинга состояния накопителя S. M.A. R.T. и защиты информации в случае внезапного отключения питания, а также алгоритм минимизации износа ячеек памяти, позволяющий выбирать для очередной операции за-

писи блоки с наименьшими значениями счетчиков количества стираний. Карты памяти промышленного класса Transcend SDHC100I с расширенным диапазоном рабочих температур в настоящий момент представлены на рынке в вариантах емкостью от 4 до 16 Гбайт, при этом доступны и карты памяти меньшей емкости — Transcend SD100I объемом от 128 Мбайт до 2 Гбайт. Обе карты памяти поставляются с двухлетней ограниченной гарантией Transcend. http://ru.transcend-info.com

Реклама

Реклама

Компания Transcend Information, Inc. (Transcend) представляет новые карты памяти промышленного класса SDHC100I емкостью 8 и 16 Гбайт с расширенным диапазоном рабочих температур. Демонстрируя чрезвычайно высокую максимальную скорость передачи данных, составляющую 20 Мбайт/с, карты памяти Transcend SDHC100I, построенные на базе флэш-памяти типа SLC NAND, отличаются большой емкостью и впечатляющей производительностью, полностью соответствуя всем требованиям, предъявляемым к промышленным решениям такого класса. Созданные для устройств, которые эксплуатируются в неблагоприятных условиях и нуждаются в носителях, способных обслуживать интенсивный поток операций чтения/записи данных, эти карты не только эффективно работают при температурах –40… +85 °C, но и поддерживают функции коррекции ошибок ECC и мониторинга состояния S. M.A. R.T. Эти носители отвечают спецификациям SD Specification Version 3.0, а также имеют рейтинг скорости Class 10, демонстрируя максимальную скорость передачи данных на уровне 20 Мбайт/с. Transcend SDHC100I пригодны к интенсивному использованию в наиболее неблагоприятных условиях

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


схемотехника

проектирование

109

Простая и недорогая реализация USB Type-C Анвар Садат (Anwar Sadat) Перевод: Алексей Ревенко

И

нтерфейс USB Type-C является сегодня наиболее интересным и обсуждаемым нововведением в области интерфейсов подключения устройств. И это не удивительно, учитывая, что он предлагает объединение в одном разъеме передачи данных, видео и энергии. Интересно, что все эти новшества повторяют историю 20‑летней давности, когда интерфейс USB 1.0 позволил произвести разделение устройств с коммуникацией между собой с помощью одного кабеля/ разъема, что ознаменовало собой вступление в новую эру передачи данных между периферийными устройствами. С тех пор интерфейс USB остается одним из самых популярных среди используемых в электронике. Тем не менее, хотя появление USB 2.0 и упростило процесс заряда электронных гаджетов, мы по-прежнему на время путешествия заполняем свои чемоданы различными зарядными устройствами, потому что ноутбуки, планшеты, телефоны, камеры и другая электроника имеют различные требования по части обеспечения питания. Представьте себе использование только одного зарядного устройства, которое удовлетворит требования всех этих носимых устройств! Интерфейс USB Type-C изначально может предоставить 15 Вт мощности, а с использованием спецификации USB Power Delivery (USB-PD) становится возможной передача энергии вплоть до 100 Вт. Этой мощности достаточно для большинства используемых электронных устройств. Однако, чтобы получить адекватную стоимость конечного изделия, разработчик должен внимательно подходить к вопросу встраивания определенных функций. Предлагаемое однокомпонентное решение для реализации Type-C предоставляет конфигурацию каналов (Channel Configuration, CC) с двойным назначением порта (Dual Role Port, DRP). Это позволяет использовать его в портативных устройствах низкой и средней ценовой группы, таких как смартфоны, планшетные компьютеры и «фаблеты», ноутбуки, хабы, док-станции, автомобильные информационно-развлекальные системы, внешние жесткие диски и др.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

В статье предлагается не требующий значительных финансовых затрат способ интеграции интерфейса USB Type-C в существующие платформы. Кроме того, данное решение требует использования всего одной микросхемы.

USB 2.0 Таблица 1. Встроенные возможности конфигурации каналов USB Type-C в сравнении с режимом USB-PD Параметр

Контроллер конфигурации каналов (СС)

USB-PD

Мощность

15 Вт

100 Вт

USB 2.0 и USB 3.1

Да

Да

Видео

Нет

Да

Интерфейс USB Type-C обеспечивает поддержку исходной системы USB 2.0 и скорость передачи данных 10 Гбит/с USB 3.1, совмещая еще и поддержку работы в альтернативном режиме, используя USB-PD. Исходный не сжатый видеоконтент, например такой, который передается по дисплейному порту, легко поддерживается интерфейсом Type-C при использовании одного из альтернативных режимов работы. В таблице 1 приведены опции USB Type-C. Интерфейс USB Type-C может быть подключен посредством кабеля, имеющего гнездо и штекер, которые меньше, тоньше, более надежны и прочны по сравнению со своими предшественниками. Штекер и гнездо уже не имеют такого понятия, как «верх» или «низ», потому могут соединяться любой стороной, что, безусловно, является дополнительным удобством для пользователя. Однако эта гибкость и простота добавляют определенной сложности для разработчиков, а следовательно, и повышают стоимость интеграции USB Type-C в конечные изделия. В связи с этим до начала разработки необходимо четко определить, какие возможности реально нужно использовать, чтобы удержать в приемлемом диапазоне стоимость всей системы в целом. Все эти факторы крайне важны для выработки более позитивного отношения пользователя к новому предложению, взгляды которого уже сформированы при использовании им стандартного интерфейса USB. Принимая во внимание стоимость реализации и возможности использования интерфейса USB 2.0, становится понятным, что для большинства систем вполне достаточно скорости передачи данных этого стандарта и базовой мощности Type-C в 15 Вт.

Как известно, USB 2.0 является четырехпроводной последовательной шиной с двумя контактами для передачи данных (D+, D–), одним общим проводом (GND) и одним контактом передачи питания (V BUS). Интерфейс является полудуплексным и поддерживает три скорости передачи данных: низкую (Low Speed, LS) со скоростью передачи 1,5 Мбит/с; полную (Full Speed, FS) со скоростью 12 Мбит/с; высокую (High Speed, HS) со скоростью 480 Мбит/с. Интерфейс USB 2.0 обеспечивает связь между основным компьютером (host) и клиентским устройством (или концентратором, хабом) в режиме двухточечного протокола канального уровня PPP (Point-to-Point Protocol), при котором управляющее устройство используется для контроля шины данных и предоставляет 5 В с током до 500 мА по шине VBUS для питания подключенного устройства. Также возможно использование повышенных токов от порта USB для заряда устройств (профиль BC 1.2), однако это влечет за собой увеличение стоимости из-за сложности реализации и использования дополнительных электронных компонентов. К популярным разъемам USB можно отнести соединители Type-A, Type-B и их микро/мини-варианты в исполнении как вилок, так и розеток. Существует также стандарт USB On-theGo (OTG), который вводит использование дополнительного, пятого контакта в разъемы USB-микро A/B. С помощью него осуществляется управление идентификацией устройства, например такого, как мобильный телефон, который может работать как в режиме клиента, так и в качестве основного хост-устройства. Это позволяет подключать устройство к персональному компьютеру в качестве клиента, а также подключать к самому устройству, идентифицируемому как хост, различные USB-устройства. USB Type-C Рассмотрим более детально USB Type-C. На рис. 1 показан штекер разъема USB Type-C. Ответное гнездо USB Type-C имеет www.kite.ru


110

проектирование

схемотехника

Рис. 2. Расположение контактов в гнезде USB Type-C (вид спереди)

Рис. 1. Штекер USB Type-C

размеры, не превышающие 3 мм в высоту и 8,4 мм в ширину, что позволяет использовать этот тип USB-разъемов в ультратонких платформах, таких как ноутбуки и смартфоны. Разъем Type-C является равнозначным как для клиента, так и для хоста, что позволяет использовать симметричный кабель. На рис. 2 показано назначение контактов разъема USB Type-C [1]. Его 24 вывода образуют симметричную конструкцию и включают в себя четыре контакта для USB 2.0, восемь контактов (четыре пары) для скоростного USB-интерфейса SuperSpeed USB и два контакта для работы в альтернативном режиме, как дополнительная сигнализация. Дополнительно два контакта служат для конфигурирования канала и работы в режиме USB-PD, четыре контакта отведены для VBUS, а еще четыре контакта — для GND. Комплексная конструкция кабеля и штекера собрана таким образом, чтобы дифференциальные пары для SuperSpeed USB могли быть использованы для передачи данных на скорости до 20 Гбит/c. В то время как гнездо должно иметь все 24 контакта, кабельная вилка Type-C USB 2.0 имеет только 12 сигнальных контактов, что удешевляет ее конструкцию. При реализации простой системы для обеспечения обычного канала связи требуется только два сигнала D+ и два сигнала D–. Таким образом, использование симметричной вилки избавляет нас от необходимости использования мультиплексора. Подобное соединение при использовании сигналов SuperSpeed USB невозможно реализовать без использования двух мультиплексоров для приемника (RX) и двух для передатчика (TX). Такое соединение будет, скорее всего, использовать две микросхемы, по одной на каждой стороне интерфейса Type-C — одну на стороне клиента и одну на стороне хоста. При использовании альтернативного режима передачи конфигурация мультиплексоров становится еще более сложной и требует наличия матричных мультиплексоров.

ганизации и управления соединением между принимающим портом (Downstream Facing Port, DFP) и передающим портом (Upstream Facing Port, UFP). В привычной терминологии USB DFP-порт может выступать как хост, а UFP — как порт контролируемого устройства. В функции СС входят нижеследующие задачи: • Определение подключения/отключения DFP-UFP. • Определение ориентации разъема (верх/низ). • Определение конфигурации предоставления питания — кто поставщик, кто потребитель. Без использования конфигурации USB PD по умолчанию используется конфигурация, в которой DFP (источник) предоставляет питание для UFP (потребитель). • Оповещение об уровне тока на шине VBUS, если устройство — поставщик питания, и определение уровня тока, если устройство — потребитель. Изменение режимов передачи питания и данных в течение сеанса связи может изменяться только через конфигурацию USB PD. Хотя в розетке и присутствуют два контакта (СС1 и СС2), при подключении используется только один контакт СС. В отличие от традиционного USB, где определение отношений хост/устройство производится с помощью физических характеристик разъема (гнездо или штекер), в USB Type-C, с его полностью одинаковыми штекерами на обоих концах кабеля, линия СС имеет подтяжку к питанию на стороне DFP и подтяжку к земле на стороне UFP. Контроль наличия напряжения на линиях СС позволяет определить сам факт подключения и ориентацию штекера (верх/низ).

Чтобы проинформировать ведомое устройство об уровне тока, который может быть ему предоставлен, на стороне DFP используется подтягивающий резистор различного номинала. С другой стороны, UFP с помощью резистора, подтянутого к земле, определяет уровень тока, который он может получить, путем определения уровня напряжения на СС. Возможна реализация трех режимов питания для интерфейса USB 2.0 без использования режима USB PD: 500 мА, 1,5 и 3 А при напряжении VBUS = 5 В. Порт интерфейса Type-C также определяет наличие режима DRP, при котором, до тех пор пока не будет установлено соединение, порт одновременно является и DFP, и UFP. В момент, когда порт DRP подключается к UFP или DFP, он приобретает характеристики DFP или UFP соответственно. В процессе соединения двух портов DRP результат может быть непредсказуемым, однако на него можно повлиять, используя опциональные функции Try.SRC и Try.SNK. Устройство DRP с установленным параметром Try.SRC постарается обозначить себя как DFP (хост), а если установлен параметр Try.SNK — как UFP (подконтрольное устройство). Эти параметры особенно важны для гарантирования правильной установки отношений источник/потребитель с точки зрения предоставления энергии, например чтобы при подключении DRP телефон не приступил к зарядке ноутбука. Для лучшего восприятия пользователем всей экосистемы Type-C очень важно, чтобы все подключаемое оборудование имело четко определенные функции в части предоставления/потребления энергии (рис. 3). В таблице 2 предлагаются подходящие режимы работы для представленных продуктов.

Конфигурация канала (СС) В USB Type-C используется механизм конфигурации канала, который служит для ор-

Рис. 3. Конфигурирование каналов с использованием подтяжки к земле и питанию

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


схемотехника

проектирование

111

Таблица 2. Категории электропитания устройств и рекомендованные установки Категория электропитания

Пример устройства

Состояние

Всегда источник В основном источник Двойное назначение В основном потребитель

Зарядное устройство Ноутбук, аккумулятор Планшетный ПК Мобильный телефон Аксессуары и внешние носители

SRC Try.SRC DRP Try.SNK

Всегда потребитель

SNK

Определение достаточной мощности Как упоминалось ранее, USB Type-C в стандартной конфигурации без использования расширенного протокола USB PD может обеспечить мощность в 15 Вт. Что собой представляют эти 15 Вт допустимой мощности? Это в шесть раз больше, чем может выдать стандартный USB 2.0, и в полтора раза больше, чем уровень самого мощного USB BC 1.2. Как быстро сможет зарядиться мобильное устройство при входной мощности в 15 Вт? В таблице 3 приведены некоторые вычисления на эту тему. В действительности время заряда зависит от многих факторов. Для упрощения мы предположили, что эффективность (КПД) зарядной цепи находится на уровне 80%.

Рис. 4. Стандартная реализация режима DRP

Таблица 3. Время заряда типичных мобильных устройств при входной мощности 15 Вт Мобильное устройство

Батареи стандартной емкости, Вт·ч

Типовое время заряда при использовании Type-C, мин

Смартфон

6

30

«Фаблет»

10

50

Мини-планшет

15

75

Полноразмерный планшет

30

150

Для большинства устройств низшего и среднего уровня потребления можно сказать, что заложенной в USB Type-C мощности 15 Вт вполне достаточно, особенно если учитывать дополнительную стоимость и сложность реализации USB PD. Некоторые приложения требуют достаточно высокой производительности для работы с видео и большими объемами данных. Однако чаще всего пользователи не ожидают так много от своих портативных устройств. В большинстве случаев использование USB на мобильном телефоне или планшете сводится к передаче фотографий, музыки и видеофайлов на ПК или для синхронизации устройств. Скорость, обеспечиваемая спецификацией USB 2.0, составляет 480 Мбит/с. Учитывая все вышеперечисленные издержки, мы получим скорость передачи на уровне 40 Мбит/с, чего будет, скорее всего, вполне достаточно для ежедневного использования. Обычно, чтобы можно было выступить ведомым устройством и получать энергию для заряда при подключении к ПК, мобильное устройство использует режим DRP. С другой стороны, мобильное устройство может также выступить как хост при подключении к нему

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Рис. 5. Стандартная реализация DFP-режима

Рис. 6. Стандартная реализация UFP-режима

flash-накопителя. На рис. 4 показана стандартная реализация USB 2.0 в конфигурации DRP. Обратите внимание, что реализация системы остается в основном неизменной в части CCконтроллера, который просто эмулирует сигнал ID стандартной реализации OTG-режима. Важно отметить, что спецификация USB Type-C позволяет мобильному устройству выступать в роли как хоста, так и клиента, наряду с возможностью быть и источником энергии, и ее потребителем. Порт USB 2.0 Type-C в ноутбуке или в переносном зарядном устройстве, скорее всего, будет выступать в роли DFP-устройства,

с функцией предоставления питания для ведомого устройства. Зачем же ноутбуку порт USB 2.0 с выходной мощностью 15 Вт? Ответ зависит от энергетического потенциала и стоимости всей системы в целом, которая оснащена несколькими портами Type-C, поскольку не все они могут обладать полным набором функций. На рис. 5 показана стандартная реализация режима DFP. Даже в случае, когда порт не использует двойной режим работы DRP, сигнал ID все равно используется для контроля напряжения полевого транзистора, выступающего в качестве ключа питания. www.kite.ru


112

проектирование

схемотехника

Решение для USB 2.0 в одной микросхеме Микросхема TUSB320 от компании Texas Instruments [2] представляет собой реализацию USB 2.0 в одной микросхеме. Если использовать данную микросхему, процесс преобразования существующего интерфейса USB 2.0 в Type-C окажется не таким уж и сложным, как может показаться на первый взгляд. Нужно только заменить разъем и добавить контроллер линий CC, такой как TUSB320. Все примеры устройств, показанных на рис. 4–6, могут быть реализованы с помощью этой микросхемы для режимов DRP, DFP и UFP соответственно. Конфигурация устройства может производиться с помощью линий GPIO. Опционально возможно использование I2C, что дает разработчику возможность реализовать дополнительные функциональные возможности, который он сочтет полезными. Микросхема использует шину I2C c целью уменьшения частоты опросов, производимых центральным процессором для информирования о генерации прерывания. Механизм генерации прерываний может использоваться для любых событий, изменяющих состояние интерфейса хостклиент. Контроллер DRP-порта от компании Texas Instruments предназначен для портативных устройств и может обеспечивать логику работы с линиями CC и конфигурироваться для работы порта как в режиме DRP, так и в режимах DFP и UFP. В зависимости от требований реализации Type-C, устройство может позиционировать себя как в роли DFP, так и в роли UFP. Логический блок работы с линиями CC используется для контроля подключения контактов CC1 и CC2 к питанию или к земле, что, в свою очередь, служит для определения факта подключения к USB и установки соответствующего режима работы порта. Этот логический блок также

новости

Таблица 4. Поддерживаемые функции USB Type-C в зависимости от режима работы Линия порта

Высокий уровень

Низкий уровень

Не подключена

Поддерживаемые функции

только DFP · · ·

только UFP · ·

DRP

Подключение/отключение Ориентация кабеля (используя I2C) Информирование об уровне тока Определение уровня тока Режим аксессуаров (отладка или аудиоустройство) Определение активного кабеля I2C/GPIO Кабели старого образца Определение VBUS Восстановление севшей батареи Эмуляция сигнала ID Try.SRC Try.SNK

· · · · · · · ·

· · · · ·

· · · (DFP) · (UFP) · · (DFP) · · · (UFP) · · (DFP) · ·

обеспечивает определение и информирование о поддерживаемом уровне тока — по умолчанию, средний или высокий, в зависимости от режима работы. В таблице 4 представлен сводный список функций Type-C. n

Литература 1. Universal Serial Bus Type-C Cable and Connector Specification, USB Type-C Cable and Connector Specification, USB 3.0 Promoter Group. April 3, 2015. 2. TUSB320 USB Type-C Configuration Channel Logic and Port Control. Texas Instruments Incorporated. June, 2015.

блоки питания

Импульсные блоки питания PROeco от Weidmüller Компания Weidmü ller предлагает новые импульсные блоки питания серии PROeco — надежные и эффективные решения для обеспечения питания, оснащенные всеми основными функциями. Импульсные блоки питания серии PROeco демонстрируют высокие эксплуатационные показатели при компактной конструкции. При поиске потребителями надежного источника питания с базовой функциональностью для автоматизации технологических процессов импульсный блок питания серии PROeco является наилучшим решением, если принять во внимание его высокую эффективность и удобство монтажа и эксплуатации. В дополнение к серии PROeco компания Weidmüller также предлагает семейства импульсных блоков питания PRO-M, PRO-H и INSTAPOWER, которые охватывают широкий спектр потребностей и технических решений. Преимущества: • Трехцветный светодиод и встроенное реле состояния (для удаленного мониторинга) позволяют быстро определять состояние работоспособности. Это облегчает пользователям анализ состояния и ошибок как в ходе пусконаладки, так и при последующей эксплуатации. • Компактность конструкции — максимальная

глубина 120 мм — означает, что блок питания серии PROeco требует до 50% меньшего пространства и может рекомендоваться для монтажа в очень маленьких шкафах. • Высокий КПД — до 93% — и минимальные потери без нагрузки обеспечивают стабильно низкое энергопотребление и длительный срок службы.

• Импульсные блоки питания серии PROeco доказали свою гибкость и надежность. Они могут работать в температурном диапазоне –25…+70 °C и обеспечивать длительное среднее время безотказной работы (MTBF/наработки на отказ) — свыше 500 000 ч. www.ptelectronics.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


схемотехника

проектирование

113

Минимально-фазовые БИХ-фильтры с минимальной неравномерностью ХГВЗ

Александр Мингазин alexmin@radis.ru

Введение Известно, что нули передаточной функции минимально-фазовых цифровых БИХ-фильтров находятся внутри единичной окружности комплексной z‑плоскости. Классические БИХ-фильтры, полученные на основе билинейного преобразования аналоговых фильтров‑прототипов Золотарева — Кауэра, Чебышева, Баттерворта и других, имеют нули на единичной окружности и согласно [1] не являются строго минимальнофазовыми, но обладают рядом их свойств. Поэтому далее будем называть эти фильтры, как и в некоторых публикациях, минимально-фазовыми. Известно также, что БИХ-фильтры часто оказываются непригодными из-за свойственной им большой неравномерности ХГВЗ в полосе пропускания. Из ряда существующих методов уменьшения этой неравномерности выделим следующие: • Коррекция неравномерности ХГВЗ фильтра с приемлемой АЧХ оптимизированной фазовой (всепропускающей) цепью. • Минимизация неравномерности ХГВЗ полюсного БИХ-фильтра с последующей коррекцией его АЧХ оптимизированным КИХ-фильтром с линейной ФЧХ. • Минимизация неравномерности ХГВЗ БИХ-фильтра при заданных допусках на отклонение АЧХ без ограничения на расположение нулей передачи. • Минимизация неравномерности ХГВЗ классических БИХ-фильтров путем оптимального выбора исходных параметров АЧХ.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

В статье представлены два подхода к синтезу минимально-фазовых цифровых БИХ-фильтров с минимальной неравномерностью ХГВЗ в полосе пропускания и требуемой АЧХ. В первом из них оптимизируются исходные параметры АЧХ классических фильтров Золотарева — Кауэра, Чебышева и Баттерворта, а во втором — коэффициенты каскадной передаточной функции фильтра c нулями передачи на единичной окружности. Численные результаты показывают, что первый подход приводит к хорошим результатам, но неклассические минимально-фазовые БИХ-фильтры, полученные с помощью второго подхода, могут иметь значительно меньшие неравномерности ХГВЗ. Степень уменьшения зависит от требований к АЧХ.

• Минимизация неравномерности ХГВЗ БИХ-фильтра c нулями передачи на единичной окружности при заданных допусках на отклонение АЧХ. Широко распространенный первый метод может приводить к завышенному результирующему порядку фильтра. Второй [2] — позволяет получить экстремально малые неравномерности ХГВЗ в сравнении с первым методом (особенно для узкополосных фильтров). Третий [3] дает экстремально малые неравномерности ХГВЗ в сравнении с первым и некоторыми другими конкурирующими методами. Четвертый [4] не всегда приводит к желаемым результатам. Пятый [5] позволяет улучшить решения, получаемые четвертым методом. Все эти методы минимизации неравномерности ХГВЗ отличаются степенью сложности и за исключением четвертого и пятого метода приводят к неминимально-фазовым БИХ-фильтрам, которым в отличие от минимально-фазовых БИХ-фильтров свойственна переходная характеристика с длительным временем нарастания, что нежелательно в ряде приложений, например в измерительной технике и некоторых системах телекоммуникации и связи [6]. В данной статье внимание сосредоточим на проблемах минимизации неравномерности ХГВЗ в полосе пропускания минимально-фазовых БИХ-фильтров нижних частот. Рассмотрим оба вышеупомянутых метода, вначале — основанный на оптимальном выборе исходных параметров АЧХ четырех классических БИХ-фильтров (Баттерворта, Чебышева I, II и Золотарева — Кауэра),

а затем метод, основанный на оптимизации коэффициентов каскадных БИХ-фильтров с нулями передачи на единичной окружности. Минимально-фазовые БИХ-фильтры, полученные вторым методом, будем называть здесь неклассическими.

Классические БИХ-фильтры с минимальной неравномерностью ХГВЗ Вначале определим области допустимых исходных параметров четырех классических БИХ-фильтров Баттерворта, Чебышева I, II и Золотарева — Кауэра. Затем рассмотрим решение задачи поиска в каждой из областей оптимальной точки, соответствующей фильтру с минимальной неравномерностью ХГВЗ в полосе пропускания, и представим численные результаты. Области допустимых исходных параметров Области допустимых исходных параметров S(p) для обсуждаемых БИХ-фильтров нижних частот показаны на рис. 1. Это лишь качественные фигуры, хотя по конкретным требованиям к АЧХ можно построить точные конфигурации областей. Компонентами вектора p, размерность которого не превышает трех, могут быть следующие исходные параметры: Δa — неравномерность АЧХ в полосе пропускания, a0 — минимальное ослабление в полосе задерживания, а также f1, f2 — граничные частоты полосы пропускания и задерживания. Расчет фильтра для любой точки той или иной области привоwww.kite.ru


114

проектирование

схемотехника

б

а

г

в

д

е

Рис. 1. Области допустимых исходных параметров фильтров: a) Баттерворта; б) Чебышева I; в) Чебышева II; г–е) Золотарева — Кауэра

дит к допустимой АЧХ, параметры которой удовлетворяют следующим условиям:

Δâ ≤ Δamax, â0 ≥ a0 min,

(1)

где Δâ — неравномерность АЧХ в номинальной полосе пропускания (0 ≤ f ≤ f1n), â0 — минимальное ослабление АЧХ в номинальной полосе задерживания (f2n ≤ f ≤ 0,5), а Δamax и a0 min — заданные допуски по неравномерности и ослаблению, частоты f1n, f2n и f нормированы относительно частоты дискретизации.

В обозначениях задаваемых допусков в (1) знак соответствия номинальной полосе не используется, поскольку всегда:

∆âmax = ∆amax и â0 min = a0 min. Предполагается, что параметры в (1) выражены в децибелах и максимум АЧХ в полосе пропускания нормирован к 0 дБ. По значениям f1n, f2n, Δamax и a0 min оценивается порядок фильтра N. Строгим равенствам в (1) соответствует целое N, точечная

область S(p) и лишь один вариант расчета фильтра. На рис. 1 наряду с допусками Δamax, a0 min и номинальными частотами f1n и f2n фигурируют экстремальные значения ∆âmin, â0 max, Δamin, a0 max и f i min, f i max, i = 1,2. Характерные точки на рис. 1 помечены буквами A, B, C, … На рис. 1б,в отмечены также кривые, а на рис. 1г–e — поверхности постоянства ∆â и â0. Неявные выражения для описания областей S(p) даны в таблице 1. Здесь a(·) — харак-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


схемотехника

теристики ослабления, а Ф(·) — функции для определения порядков обсуждаемых фильтров. Для упрощения записи зависимость неявных функций a(·) и Ф(·) от N опущена. Для фильтров Баттерворта область S(p) = S(р1) = S(f1) или S(р1) = S(Δa) полностью определяется диапазоном изменения параметра f1 или Δa. На рис. 1а показана область S(f1) в виде отрезка прямой AB. Для фильтров Чебышева I двумерная область S(Δa, f1) c характерными точками A, B, C, D представлена на рис. 1б, а для фильтров Чебышева II двумерная область S(a0, f2) c характерными точками A, C, E, F — на рис. 1в. Для фильтров Золотарева — Кауэра трехмерная область S(Δa, f1, f2) может иметь три вида конфигураций, показанных на рис. 1г–е. Область на рис. 1г образована пересечениями плоскости Δa = Δamax и трех поверхностей с характерными точками B, D, E для первой, D, E, F для второй и B, D, F для третьей поверхности. Начало координат соответствует точке A. Точки A, B, C, D лежат в плоскости f2 = f2n. Области на рис. 1д,е обусловлены предельными переходами согласно схеме на рис. 2. Так, от фильтров Золотарева — Кауэра возможен переход к фильтрам Чебышева на рис. 1д и к фильтрам Чебышева и Баттерворта на рис. 1е. Образовавшиеся фигуры с характерными точками F, F′, F″ располагаются в плоскости f2 = 0,5, а появившиеся отрезки прямых D′D″ на рис. 1д и D′F″ на рис. 1е соответствуют Δa = 0 и f1 = 0. Если вернуться к областям фильтров Чебышева I и II на рис. 1б,в, то здесь, пользуясь схемой на рис. 2, можно указать точки предельного перехода к фильтрам Баттерворта. На рис. 1б это точка D с координатами Δa = Δamin = 0 и f1 = f1 min = 0, а на рис. 1в — точка F с координатами a 0 = a 0 max = ∞ и f2 = f2 max = 0,5 (в [4] вместо точки F ошибочно говорится об отрезке прямой). Заметим, что предельный переход от одного фильтра к другому имеет место, если для каждого из этих фильтров одинакового порядка выполняются условия (1). Области S(p) на рис. 1а–г были ранее представлены в [7], на рис. 1а–г,е — в [4] и на рис. 1г–е — в [8]. В работах [7, 8] даны математические описания областей в явной и неявной форме. Более детальное пояснение предельных переходов дано в [4, 8]. Оптимальные точки областей Допустим, область S(p) фильтра Золотарева — Кауэра представляет собой точку, что соответствует строгим равенствам в (1). В этой ситуации фильтры Золотарева — Кауэра обладают не только глобально оптимальной АЧХ, что им свойственно независимо от размера S(p), но и глобально оптимальной ХГВЗ, которая в конкретных случаях может оказаться совершенно неприемлемой. Подобные рассуждения можно отнести и к трем другим обсуж-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

проектирование

115

Таблица 1. Описание областей допустимых исходных параметров Области S(p) фильтров нижних частот Баттерворта

Чебышева I

Чебышева II

S(f1)

S(Δa, f1)

S(a0, f2)

S(Δa, f1, f2)

a0 ≥ a0 min, N ≥ Ф(Δamax, a0, f1n, f2), а(f = f2n, a0, f2) ≥ a0 min

Δa ≤ Δamax, N ≥ Ф(Δa, a0 min, f1, f2), a(f = f1n, Δa, f1, f2) ≤ Δamax, а(f = f2n, Δa, f1, f2) ≥ a0 min, f2 < 0,5

f1 ≥ f1n, N ≥ Ф(Δamax, a0 max, f1, f2n)

Δa ≤ Δamax, N ≥ Ф(Δa, a0 min, f1, f2n), a(f = f1n, Δa, f1) ≤ Δamax

Золотарева — Кауэра

Рис. 2. Схема предельных переходов

даемым здесь классическим фильтрам, АЧХ которых являются в определенном смысле глобально оптимальными. Получить лучшее соотношение между параметрами АЧХ и ХГВЗ можно лишь в случае неточечной области S(p), подобрав вектор исходных параметров p или рассчитав минимально-фазовый БИХ-фильтр другими методами. Задачу синтеза классических БИХ-фильтров с минимальной неравномерностью ХГВЗ можно сформулировать как: ∆t(p) = tmax(p)–tmin(p) → min, ∆â(p) ≤ ∆amax, â0(p) ≥ a0 min, p ∈ S(p),

(2)

где Δτ — неравномерность ХГВЗ в номинальной полосе пропускания, а τmax и τmin — максимальное и минимальное значения ХГВЗ в этой полосе. Положим для дальнейшего, что эти параметры ХГВЗ выражены в отсчетах частоты дискретизации. Решить поставленную задачу аналитически затруднительно из-за сложности функций, входящих в (2). Решения были получены косвенным путем в [4]. На основе результатов прямого исследования областей S(p) на рис. 1 и известных фактов о взаимосвязи параметров АЧХ и о влиянии их на неравномерность ХГВЗ сделаны следующие выводы. Для фильтров Баттерворта минимуму Δτ соответствует точка B в S(f1) на рис. 1а, а для фильтров Чебышева II — точка C в S(a0, f2) на рис. 1в. Для фильтров Чебышева I и Золотарева — Кауэра минимум Δτ расположен в некоторой точке на кривой BD, соответственно в областях S(Δa, f1) на рис. 1б и S(Δa, f1, f2) на рис. 1 г–e. Процедура нахождения такой оптимальной точки сводится к поиску минимума функции одной переменной, что легко выпол-

нить на дискретном наборе частот f1. Фильтры Золотарева — Кауэра имеют наименьшую неравномерность Δτ, фильтры Баттерворта — наибольшую. Фильтры Чебышева занимают промежуточное положение, причем предпочтительнее фильтры Чебышева II, но при очень широкой полосе пропускания, мало востребованной на практике, фильтры Чебышева I могут иметь несколько меньшие Δτ, чем фильтры Чебышева II. Численные результаты Проиллюстрируем описанные способы выбора оптимальных точек в областях S(p) на рис. 1 для следующих требований к АЧХ:

Δamax = 3 дБ, a0 min = 45 дБ, f1n = 0,1, f2n = 0,2.

(3)

На рис. 3 для обсуждаемых фильтров представлены семейства зависимостей неравномерности ХГВЗ Δτ от исходных параметров областей S(p). Точки A, B, C, … на рис. 3б–г соответствуют аналогичным точкам в областях S(p) на рис. 1б–г. Для фильтров Баттерворта семейство зависимостей Δτ от исходной частоты f1 показано на рис. 3а. Семейство построено для частот f1 области S(f1) на рис. 1а при трех значениях N, включая минимальное N = 7. Как видим, минимум Δτ для каждого N соответствует максимальной частоте f1 или точке B в области S(f1) на рис. 1а. При N = 7 минимум Δτ = 6. Для фильтров Чебышева I семейство зависимостей Δτ от f 1 на рис. 3б построено для ряда кривых â 0 = const в области S(∆a, f1) на рис. 1б при минимальном N = 5. Минимум Δτ = 3,9 находится на кривой BD. Для фильтров Чебышева II семейство зависимостей Δτ от f2 на рис. 3в построено для www.kite.ru


проектирование

116

схемотехника

а

в

б

г

Рис. 3. Зависимости неравномерности ХГВЗ от исходной граничной частоты f1 или f2 для фильтров: а) Баттерворта при N = 7, 8 и 9; б) Чебышева I при N = 5, â0 = 45; 47; …; 55 и 56, 66 дБ; в) Чебышева II при N = 5, ∆â = 0,286; 0,4; 0,6; …; 1,8 и 3 дБ; г) Золотарева — Кауэра при N = 4, f2 = 0,2, â0 = 45; 47; …; 61 и 62,16 дБ

Таблица 2. Минимальные значения Δτ для классических фильтров и соответствующие исходные параметры

N

Δτ

7 8 9 5 6 7 8 9 4 5 6 7 8 9

6 5,2 4,1 3,9 3,6 2,3 2,5 2,5 1,8 1,5 1,2 0,9 1,1 0,8

Фильтр

N

Δτ

Чебышева II

5 6 7 8 9

3 1,9 1,5 1,3 1,3

Фильтр

Баттерворта

Чебышева I

Золотарева — Кауэра (f2 = f2n = 0,2)

Исходные параметры Δа, дБ

f1

1,492 0,249 0,842 0,12 0,039 1,147 2,384 0,243 1,72 0,077 1,596

0,10617 0,11562 0,12343 0,11565 0,1201 0,1448 0,144 0,148 0,13075 0,17025 0,1712 0,1918 0,188 0,19793

Исходные параметры a0, дБ

f2

45

0,2

ряда кривых ∆â = const в области S(a0, f2) на рис. 1в при N = 5. Минимум Δτ = 3 находится в точке C.

Рис. 4. Зависимости минимальной неравномерности ХГВЗ классических фильтров от порядка N

Для фильтров Золотарева — Кауэра семейство зависимостей Δτ от f 1 на рис. 3г построено для ряда кривых â0 = const в области S(∆a, f1, f2) на рис. 1г при f2 = f2n и минимальном N = 4. Минимум Δτ = 1,8 находится на кривой BD. Согласно рис. 3 разброс по Δτ для фильтров Золотарева — Кауэра и Чебышева I достигает примерно 10 раз, для фильтров Чебышева II — примерно двух раз, а для фильтров Баттерворта при N = 7 он

очень мал. На самом деле для фильтров Золотарева — Кауэра разброс (но лишь в сторону увеличения Δτ) больше указанного, поскольку семейство кривых на рис. 3г, построенное при f2 = f2n, не охватывает всю область S(∆а, f1, f2) на рис. 1г. Для всех фильтров в таблице 2 приведены минимальные значения Δτ, найденные при разных N. Кроме того, здесь даны исходные параметры, по которым получены эти результаты. На рис. 4 показаны зависимости

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


схемотехника

Δτ от N, построенные по данным таблицы 2. Как видим, дополнительное уменьшение Δτ (более чем в 2 раза) может быть получено для фильтров большего порядка. Однако увеличение N более чем в 2 раза малоэффективно. Фильтры Золотарева — Кауэра имеют наименьшие, а фильтры Баттерворта — наибольшие значения Δτ. Фильтры Чебышева занимают промежуточные положения.

Неклассические минимально-фазовые БИХ-фильтры с минимальной неравномерностью ХГВЗ Надлежащий выбор исходных параметров АЧХ и порядка позволяет получить классические фильтры с минимальной неравномерностью ХГВЗ в номинальной полосе пропускания. Однако такой подход может не дать ожидаемых результатов, поскольку обсуждаемые фильтры, когда-то предложенные для получения желаемых АЧХ, не обязательно обладают наименьшей неравномерностью ХГВЗ. Поэтому можно попытаться улучшить классические решения, сохраняя свойство минимальной фазы. Далее сформулируем задачу синтеза неклассических минимально-фазовых каскадных БИХ-фильтров с минимальной неравномерностью ХГВЗ, определим начальные приближения, представим возможные методы условной и безусловной оптимизации для решения этой задачи, приведем примеры синтеза фильтров и покажем, что результаты, полученные для классических БИХ-фильтров, могут быть значительно улучшены. Постановка задачи синтеза фильтров Передаточную функцию каскадного БИХфильтра нижних частот N‑го порядка запишем в виде: (4) где K = N/2 и K = (N+1)/2 соответственно для четных и нечетных N, коэффициенты А2m = B2im = 0 для некоторого m ≤ К и нечетного N. Задачу минимизации неравномерности ХГВЗ в номинальной полосе пропускания для БИХ-фильтров с передаточной функцией (4) сформулируем как:

∆t(A) = tmax(A)–tmin(A) → min, ∆â(C) ≤ ∆amax, â0(C) ≥ a0 min, (5) at(C) ≥ at min, A ∈ U, B ∈ R, где A и B — векторы искомых коэффициентов знаменателей и числителей в (4), вектор C включает A и B, at — минимальное ослабление АЧХ в переходной полосе и его допустимое значение at min, выраженные в децибелах, U — область устойчивости, R — область, соответствующая единичной окружности.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

В отличие от (2) Δτ, τmax, τmin, ∆â и â0 в (5) представлены как функции вектора A или С. Требование к вектору B обеспечивает расположение нулей H(z) на единичной окружности и постоянство ХГВЗ (линейность ФЧХ) для фильтра с передаточной функцией в виде числителя (4). Обычно при синтезе частотных фильтров к АЧХ в переходной полосе не предъявляется никаких требований. Это относится и к рассмотренным выше классическим фильтрам, для которых всплеск АЧХ в переходной полосе не превышает 0 дБ, или иначе ослабление АЧХ at ≥ 0 дБ. Однако при минимизации неравномерности ХГВЗ или нелинейности ФЧХ всплеск АЧХ в переходной полосе может оказаться неприемлемым, и поэтому его желательно ограничить [3,9], что и сделано в (5). Возможно еще более жесткое условие, а именно АЧХ в переходной полосе c увеличением частоты монотонно убывает. Это условие приводит к некоторому ухудшению результатов в сравнении с простым ограничением всплеска [9]. Формулировка задачи синтеза (5) с функциями ограничения, выраженными в децибелах, обусловлена удобством изложения данной статьи. На практике целесообразно представить эти функции в относительных единицах. Начальные приближения При решении поставленной задачи в качестве начального приближения удобно взять тот или иной классический БИХ-фильтр, поскольку все ограничения в (5) для такого исходного фильтра оказываются выполненными. В общем случае требование в (5) к вектору B означает, что коэффициенты числителей H(z) в (4) для фильтров нижних частот должны удовлетворять следующим условиям:

|B1i | ≤ 2, B2i = 1, ∀i = 1…K и четного N и ∀i ≠ m и нечетного N; B1m = 1, B2m = 0 для нечетного N. (6) В частном случае имеет место строгое равенство в (6), и тогда в (5) неизвестен только вектор A, поскольку вектор B будет содержать лишь известные целочисленные компоненты. Общему случаю отвечают фильтры Чебышева II и Золотарева — Кауэра, а частному — фильтры Баттерворта и Чебышева I. При решении задачи (5) целесообразно в качестве исходного выбрать фильтр Золотарева — Кауэра или Чебышева I. Далее используем целый ряд исходных фильтров Золотарева — Кауэра, рассчитанных для точек области S(∆a, f1, f2) (на рис. 1г,д или 1е), располагающихся на кривых â0 = const в некоторой ∆-окрестности кривой BD при a0 min ≤ â0 ≤ ≤ a0 min+∆ и f2 = f2n [5]. Точку на той или иной кривой â0 = const будем характеризовать параметрами f1, â0. Напомним, что на кривой BD, для которой â0 = a0 min, находится оптималь-

проектирование

117

ная точка, соответствующая минимуму Δτ для фильтров Золотарева — Кауэра. Методы решения задачи Задачу (5) можно решить теми или иными методами нелинейного программирования. Применим для сравнения два известных метода безусловной и условной оптимизации (см., например, [10, 11] и ссылки в этих работах), а именно метод градиента (МГ) и метод градиента с возвратом (МГВ). В МГВ, как и в МГ, поиск в области допуска ведется в направлении -gradΔτ с постоянным шагом [10]. Для задачи (5) с тремя ограничениями на параметры АЧХ возможны семь ситуаций, когда в методе МГВ требуется возврат в область допуска. Эти три ограничения можно привести к виду gi ≤ 0, t = 1, 2, 3, а возникающие ситуации описать функциями Gm, m = = 1, 2, …, 7. Так, Gm = gm, m = 1, 2 или 3 при нарушении одного из трех ограничений, G4 = g1+g2, G5 = g1+g3 или G6 = g2+g3 — при нарушении двух из трех ограничений и G7 = g1+g2+g3 при нарушении всех трех ограничений. После возникновения m‑й ситуации производится пошаговое перемещение в направлении -grad Gm для возврата в зону допуска. Результаты решения задачи (5) с помощью обсуждаемых методов оптимизации можно улучшить благодаря следующим приемам: • поиск на большем числе наборов параметров f1, â0; • подбор начального шага поиска; • поиск на большем числе наборов параметров f1, â0 в окрестности найденного оптимума; • неоднократный повтор поиска с уменьшенным шагом в окрестности найденного оптимума. Для оценки необходимых градиентов используем аналитические выражения. Текущие оценки параметров АЧХ и ХГВЗ выполним по 100 частотным точкам в каждой из полос, а окончательные оценки для найденного решения уточним по 500 точкам. Численные результаты Представим два примера решения задачи (5), рассмотренные в [5]. Первый пример с требованиями к АЧХ (3), а второй — с требованиями к АЧХ из [12], которые использовались многими авторами. Первый пример проиллюстрируем и обсудим более подробно, что позволит понять детали, связанные с решением задачи (5) методами условной и безусловной оптимизации. Пример 1. Как было отмечено выше, требованиям (3) удовлетворяет фильтр Золотарева — Кауэра с N ≥ 4. Вначале уделим внимание безусловной оптимизации на основе МГ, а затем условной — на основе МГВ. В обоих случаях ограничимся исходными фильтрами Золотарева — Кауэра, рассчитанными для N = 5, f1 = 0,08, 0,1, 0,12, â0 = 45, 50, 55 дБ и f2 = f2n = 0,2. Таким образом, количество исходных точек f1, â0 равно девяти. www.kite.ru


проектирование

118

схемотехника

Интересно посмотреть на процессы изменения параметров Δτ, ∆â, â0 и at синтезируемого фильтра от числа итераций в каждом из методов. Для уменьшения числа графиков вместо контролируемых параметров ∆â и â0 используем максимальную взвешенную ошибку АЧХ, связанную с этими параметрами как:

а

e = max[(1–10–0,05∆â)/(1–10–0,05∆amax), 10–0,05(â0–a0 min)]. В этом случае двум ограничениям на ∆â и â0 в (5) соответствует одно условие e ≤ 1. Безусловная оптимизация На рис. 5 представлены зависимости параметров Δτ, e и at синтезируемого фильтра от числа итераций в МГ для трех из девяти заданных исходных точек с f1 = 0,12, â0 = 45, 50, 55 дБ. Согласно рис. 5а с увеличением числа итераций значение Δτ существенно уменьшается. Характер трех кривых зависит от значения â0. Замечено, что все три процесса минимизации Δτ прерываются нарушением условий устойчивости, не доходя до 3104 итераций, причем это обусловлено перемещением доминирующей (ближайшей к единичной окружности) пары комплексно-сопряженных полюсов фильтра за пределы единичной окружности. Для каждого â0 примерно одно и то же устойчивое решение с очень малым значением Δτ ≈ 0,003 можно получить при числе итераций более 104. Однако это решение становится бесполезным, если обратиться к зависимостям на рис. 5б. Еще до 104 итераций значение ошибки e начинает возрастать и становится больше единицы, что по условию решаемой задачи неприемлемо. Тем не менее на рис. 5б можно выделить интервалы, в которых e ≤ 1. Один находится в ближней зоне (до 100 итераций) для всех трех кривых, а другой — в дальней зоне (от 103 до 104 итераций) и лишь для двух кривых. На рис. 5в показаны зависимости ослабления в переходной полосе at от числа итераций. Выбор решения с e ≤ 1 на рис. 5б из ближней или дальней зоны зависит от значения at min в (5), которое до сих пор не было задано. Если at ≥ at min = 0 дБ, то решение с e ≤ 1 и минимальной Δτ можно найти лишь в ближней зоне. Результаты безусловной оптимизации для всех девяти исходных точек f1, â0 представлены в табл. 3, где также указано число потребовавшихся итераций. Для некоторых значений â0 даны два решения — в ближней и дальней зоне (вторая строка цифр). Решение в дальней зоне определяется исходя из того, чтобы получить как можно большее значение at . Поэтому процесс оптимизации должен быть прерван при появлении первого допустимого решения с e ≤ 1, что обусловлено поведением кривых на рис. 5в. Данные таблицы 3 дают представление о влиянии выбора исходных параметров на результаты оптимизации. Как видим, для лучших решений в ближней и дальней зоне Δτ = 0,485 и Δτ = 0,036 соответственно. Благодаря приемам, описанным выше, эти значения были дополнительно уменьшены до Δτ = 0,212 дБ и Δτ = 0,009. Для сравнения, в [5] в ближней зоне получено Δτ = 0,315.

б

в

Рис. 5. Зависимости параметров синтезируемого фильтра от числа итераций в МГ для трех значений â0 исходного фильтра Золотарева — Кауэра с N = 5, f1 = 0,12 и f2 = 0,2: а) неравномерность Δτ; б) ошибка e; в) ослабление аt

Таблица 3. Результаты безусловной оптимизации Исходные параметры

Параметры синтезированных фильтров Итерации

f1

â0, дБ

Δτ

e

at, дБ

0,08

45 50

0,598 1,09 2,23 0,049 0,529 0,848 0,037 1,40 0,057 0,485 0,725 0,036 1,03 0,053

0,969 0,982 0,970 1 0,960 0,986 1 0,971 1 0,964 0,972 1 0,949 1

2,86 2,94 2,89 –1,05 2,86 2,95 –0,695 2,88 –1,32 2,87 2,90 –0,839 2,50 –1,39

55 45 0,1

50 55 45

0,12

50 55

28 23 18 1005 26 21 1577 17 1577 24 18 3478 13 2899

Условная оптимизация В данном случае положим at min = 0 дБ. На рис. 6 представлены зависимости параметров Δτ, e и at синтезируемого фильтра от числа итераций в МГ и МГВ для исходной точки с f1 = 0,08, â = 55 дБ. Для этой точки (из девяти ранее упомянутых) МГВ дает наилучший результат. Графики на рис. 6 наглядно иллюстрируют, как видоизменяются зависимости Δτ, e и at от числа итераций в случае применения МГВ вместо МГ. На рис. 6а кривая Δτ для МГВ после достижения минимума резко возрастает. На рис. 6б,в наблюдается движение вдоль границ с e = 1 и at = 0 дБ и резкое нарушение этих границ после 103 итераций с последующим нарушением условия устойчивости. Заметим, что резкие колебания кривой для МГВ на рис. 6в после 103 итераций обусловлены недостаточным числом точек для оценки at . КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


схемотехника

проектирование

119

а а

б

б

в

в

Рис. 7. Карты полюсов/нулей: а) исходного фильтра Золотарева — Кауэра (Δτ = 4,5); б) синтезированного с помощью МГВ фильтра (Δτ = 0,022); в) фильтра Золотарева — Кауэра с минимальной неравномерностью ХГВЗ (Δτ = 1,5)

Рис. 6. Зависимости параметров синтезируемого фильтра от числа итераций в МГ и МГВ для исходного фильтра Золотарева — Кауэра с N = 5, â0 = 55 дБ, f1 = 0,08 и f2 = 0,2: а) неравномерность Δτ; б) ошибка e; в) ослабление at

Однако нет смысла увеличивать это число, поскольку процесс поиска должен быть прерван из-за резкого возрастания Δτ еще до появления этого эффекта. Метки на кривых для МГВ на рис. 6 обозначают решение задачи (5) с Δτ = 0,032, e = 1 и at = 0,01 дБ. Благодаря приемам, описанным выше, можно получить фильтр с Δτ = 0,022, e = 1 и at = 0 дБ. При этом исходный фильтр, рассчитанный для точки f1 = 0,083, â0 = 54 дБ, имеет Δτ = 4,6. Карты полюсов/нулей исходного и оптимизированного фильтров показаны на рис. 7а,б. Как видим, для этих фильтров сильно отличаются лишь позиции доминирующих полюсов. Для сравнения на рис. 7в приведена карта полюсов/нулей для фильтра Золотарева — Кауэра с минимальной неравномерностью ХГВЗ. Хотя для этого фильтра согласно таблице 2 Δτ = 1,5 при N = 5, использование его в качестве исходного дает результат гораздо хуже полученного.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Сравнение результатов Параметры синтезированных с помощью МГ и МГВ неклассических фильтров сведены в таблице 4. Там же для сравнения даны параметры фильтра Золотарева — Кауэра с минимальной неравномерностью ХГВЗ, взятые из таблицы 2 при N = 5. Как видим, для неклассических фильтров можно получить значительно меньшие значения Δτ, чем для фильтра Золотарева — Кауэра, а именно в 68 раз при at ≥ 0 дБ и в 167 раз при at = –0,869 дБ. Найденные фильтры являются минимально-фазовыми и согласно таблице 4 их максимальные значения ХГВЗ в номинальной полосе пропускания не превышают значения для фильтра Золотарева — Кауэра. В случае, когда всплеск АЧХ в переходной полосе недопустим (at ≥ 0 дБ), применение МГВ позволяет для данного примера более чем на порядок уменьшить значение Δτ, полученное с помощью МГ. В таблице 5 приведены значения Δτ и коэффициентов, на рис. 8а,б — АЧХ, а на рис. 8в — ХГВЗ всех синтезированных фильтров. В номинальной полосе задерживания (0,2 ≤ f ≤ 0,5) на рис. 8а и в номинальной полосе пропускания (0 ≤ f ≤ 0,1) на рис. 8б АЧХ всех фильтров удовлетворяют заданным требованиям. В номинальной полосе пропускания АЧХ трех неклассических фильтров практически совпадают. Наибольшие различия проявляются в переходной полосе по уровням всплесков, которые соответствуют значениям at в таблице 4. www.kite.ru


проектирование

120

схемотехника

Таблица 4. Параметры синтезированных фильтров Минимально-фазовые фильтры (N = 5) Золотарева — Кауэра Δτ

τmax

1,5

3,78

Неклассические at, дБ

Δτ

τmax

at, дБ

Метод

0

0,212 0,009 0,022

3,73 3,47 3,58

1,38 –0,80 0

МГВ

МГ

Таблица 5. Значения Δτ и коэффициентов синтезированных фильтров Δτ 1,5

0,212

0,009

0,022

i

A1i

A2i

B1i

B2i

1 2 3 1 2 3 1 2 3 1 2 3

–0,95286628 –1,18877729 –0,73139252 –1,08353967 –1,00498407 –0,53517859 –1,1077361 –0,94693713 –0,51708961 –1,12518232 –0,96972502 –0,5021865

0,93792855 0,73368931 0 0,89569467 0,36836692 0 0,91457912 0,32414053 0 0,90122197 0,3226689 0

–0,56547128 0,10728159 1 –0,54173064 0,30157007 1 –0,54386197 0,2851927 1 –0,53730226 0,36947927 1

1 1 0 1 1 0 1 1 0 1 1 0

Согласно представленным результатам уменьшение неравномерности ХГВЗ в номинальной полосе пропускания для всех полученных минимально-фазовых БИХ-фильтров приводит фактически к расширению полосы пропускания. Дополнительного уменьшения этой неравномерности для неклассических фильтров можно достичь, увеличивая всплеск АЧХ в переходной полосе. Интересно, что подобные факты наблюдаются и для неминимально-фазовых БИХфильтров [3]. Пример 2. Требования к АЧХ [12]: ∆amax = 0,5 дБ, a0 min = 32 дБ, a t = 0 дБ, f1n = 0,25 и f2n = 0,3. Этим требованиям удовлетворяет фильтр Золотарева — Кауэра с N ≥ 4. В данном случае уменьшить минимальные неравномерности ХГВЗ фильтров Золотарева — Кауэра для N = 4, 5, …, 12 с помощью МГ, так же как и в [5], не удается. Применение МГВ не приводит к существенным результатам. Например, при N = 5 для фильтра Золотарева — Кауэра с минимальной неравномерностью ХГВЗ, соответствующего точке B на рис. 1г, значение Δτ = 3,85, а для фильтра, найденного с помощью МГВ, — Δτ = 3,76. Увеличение допустимого всплеска АЧХ до 3 дБ (at = –3 дБ) также дает мало значимый результат с Δτ = 3,19. Дальнейшее уменьшение Δτ до 1,75 возможно при допущении at = –20 дБ. Такое несущественное уменьшение Δτ при сильном снижении требования к at можно объяснить узкой относительной переходной полосой. Действительно, в данном примере отношение переходной полосы к полосе пропускания равно 0,2, а в примере 1, для которого получены превосходные результаты, отношение равно 1. Таким образом, невозможность достаточного расширения полосы пропускания в процессе оптимизации из-за узкой относительной переходной полосы требует допущения очень большого всплеска АЧХ. Однако чрезмерный всплеск АЧХ в переходной полосе может оказаться неприемлемым на практике. Обсуждаемый пример был рассмотрен в ряде публикаций, и в частности в [3], где при N = 12 были получены варианты решений с чрезвычайно малой неравномерностью ХГВЗ, но лишь для неминимально-фазовых фильтров.

Заключение Представлены два подхода к синтезу минимально-фазовых БИХфильтров с минимальной неравномерностью ХГВЗ в номинальной полосе пропускания и требуемой АЧХ. Хотя рассмотрены только фильтры нижних частот, синтез может быть распространен и на полосовые фильтры.

а

б

в

Рис. 8. Частотные характеристики синтезированных БИХ-фильтров: а) АЧХ в основной полосе; б) АЧХ в номинальной полосе пропускания и переходной полосе; в) ХГВЗ в номинальной полосе пропускания

Первый подход основан на оптимальном выборе исходных параметров АЧХ классических фильтров в пределах определенной области допуска. В зависимости от требований к АЧХ и порядка фильтров, разброс в значениях неравномерности ХГВЗ для точек области может быть очень большим, что оправдывает применение этого подхода. Наименьших неравномерностей ХГВЗ можно достичь для фильтров Золотарева — Кауэра, затем в зависимости от ширины полосы пропускания для фильтров Чебышева II или Чебышева I и лишь потом для фильтров Баттерворта. Дополнительное уменьшение неравномерности ХГВЗ можно получить для большего порядка фильтров. Однако увеличение порядка более чем в два раза малоэффективно. Второй подход основан на безусловной и условной оптимизации коэффициентов каскадного фильтра c нулями передачи на единичной окружности. При этом ряд фильтров Золотарева — Кауэра исполь-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


зуется в качестве исходных. Полученные таким путем неклассические минимально-фазовые фильтры могут иметь значительно меньшие неравномерности ХГВЗ (в частности, в 68 и 167 раз), чем присущие фильтрам Золотарева — Кауэра, найденным с помощью первого подхода. К сожалению, результаты оптимизации сильно зависят от относительной переходной полосы и допустимого уровня всплеска АЧХ в этой полосе. Желание получить узкую переходную полосу и малый допустимый уровень всплеска может свести на нет эффект оптимизации. Для получения существенного результата в случае узкой переходной полосы требуется допущение чрезмерного всплеска АЧХ, что может оказаться неприемлемым на практике. Это ограничивает возможности минимизации неравномерности ХГВЗ минимально-фазовых БИХ-фильтров. n

Литература 1. Оппенгейм А., Шафер Р. Цифровая обработка сигналов. М.: Техносфера. 2012. 2. Saramaki T., Neuvo Y. Digital filters with equiripple magnitude and group delay. IEEE Trans. 1984. ASSP‑32. No. 6. 3. Nongpiur R. C., Shpak D. J., Antoniou A. Improved design method for nearly linear-phase IIR filters using constrained optimization. IEEE Trans. on Signal Processing. 2013. V.61. No.4. 4. Мингазин А. Резервы классических аппроксимаций цифровых БИХ-фильтров // Современная электроника. 2012. № 9. (Статью с исправленными опечатками см. на сайте www. radis.ru). 5. Мингазин А. Т. Минимально-фазовые БИХфильтры с минимальной неравномерностью ХГВЗ и требуемой АЧХ // 16‑я Международная конференция «Цифровая обработка сигналов и ее применение». (DSPA.) 2014. Т. 1. 6. Пупалайкис П. Д. Групповая задержка и ее влияние на тестирование потоков последовательных данных // Компоненты и технологии. 2007. № 1. 7. Мингазин А. Т. Начальные приближения для синтеза цифровых фильтров с минимальной длиной слова коэффициентов // Электронная техника. 1983. Сер. 10. № 6. 8. Мингазин А. Т. Область допустимых исходных параметров цифровых фильтров Золотарева — Кауэра // 15‑я Международная конференция «Цифровая обработка сигналов и ее применение». (DSPA.) 2013. Т. 1. 9. Surma-aho K., Saramaki T. A systematic technique for designing approximately linear phase recursive digital filters. IEEE Trans. CAS-II. 1999. V. 46, No. 7. 10. Карпушкин С. В. Численные методы в проектных расчетах оборудования. Электронное учебное пособие. Тамбов, 2008. 11. Пашкеев С. Д., Минязов Р. И., Могилевский И. Д. Машинные методы оптимизации в технике связи. M.: Связь. 1976. 12. Deczky A. G. Synthesis of recursive digital filters using the minimum p‑error criterion. IEEE Trans. 1972. AU‑20. No. 4.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Реклама

121

новости

коммутаторы

Сетевой коммутатор NM350 от Fastwel Российская компания Fastwel выпустила сетевой коммутатор NM350 — законченное устройство c шестью каналами Ethernet, четыре из которых поддерживают технологии PoE. Питание устройства осуществляется через лицевой разъем D‑Sub посредством встроенного преобразователя напряжения. В отсутствие подключенных устройств коммутатор рассеивает менее 9 Вт энергии, что позволяет обойтись без дополнительного внешнего охлаждения. Один из двух каналов NM350, не поддерживающих PoE, можно использовать для подключения к серверу, в то время как к другому — подсоединить еще один модуль NM350, а к нему, в свою очередь, — следующий. Таким образом организуется последовательное каскадирование сетевых коммутаторов. Данный тип соединения нередко задействуют при создании распределен-

ных систем безопасности и видеонаблюдения в общественном транспорте. Благодаря наличию промышленных разъемов M12 и степени защиты от пыли и влаги IP65 коммутатор может быть установлен вне коммуникационных шкафов на открытом воздухе или в производственных цехах, а также на траспспорте. Ключевые характеристики: • встроенный коммутатор на 6 каналов Gigabit Ethernet, работающий на канальном (втором) уровне модели OSI; • четыре порта с поддержкой технологии PoE PSE по стандарту IEEE 802.3af; • совместимость с устройствами до 15,4 Вт на канал; • защита от пыли и влаги IP65; • диапазон рабочих температур: –40…+70 °C. www.prosoft.ru

Реклама

проектирование

www.kite.ru


122

новости

измерительная аппаратура

Новый измерительный приемник электромагнитных помех (ЭМП) высшего класса R&S ESW

Реклама

Компания Rohde & Schwarz представляет новый измерительный приемник электромагнитных помех (ЭМП) высшего класса R&S ESW с широчайшим динамическим диапазоном и уникальными функциональными возможностями для сертификационных измерений по параметрам ЭМС. Сертификационные измерения на соответствие современным аэрокосмическим, оборонным, автомобильным и общепромышленным стандартам по ЭМС предъявляют самые высокие требования к измерительным приемникам электромагнитных помех. Для проведения таких измерений компания Rohde & Schwarz представила 23 февряля 2016 года на выставке EMV‑2016

в Дюссельдорфе новейший измерительный приемник R&S ESW. Новый измерительный приемник обладает широчайшим динамическим диапазоном благодаря низкому уровню собственных шумов и высочайшей точности измерений. R&S ESW полностью отвечает самым высоким требованиям сертификационных измерений согласно стандартам CISPR, EN, MIL STD 461, DO 160, FCC и т. д., а также российским стандартам (ГОСТ, ГОСТ Р и ГОСТ РВ). Измерительный приемник R&S ESW выпускается в трех исполнениях с диапазонами частот 2–8/26,5/44 ГГц). В R&S ESW объединены функции измерительного приемника ЭМП и полноценного анализатора спектра. Используя функцию анализа спектра в режиме реального масштаба времени со спектрограммой, можно проводить подробный анализ помех и выявлять историю их возникновения. Прибор также обеспечивает максимально быстрое определение и анализ различных спектров электромагнитных помех благодаря сканированию во временной области, основанному на БПФ (time domain scan). Преселектор, имеющийся в стандартной комплектации R&S ESW, дополняют фильтры высоких частот от 150 кГц и 2 МГц, а также узкополосные режекторные фильтры для диапазонов на 2,4 и 5,8 ГГц. Благодаря этому работа устройств, использующих технологии Bluetooth и WLAN, не влияет на динамический диапазон приемника, что позволяет обнаруживать даже самые незначительные помехи за пределами этих диапазонов. Мультиоконный режим MultiView обеспечивает наглядный обзор результатов различных измерений, в том числе при использовании нескольких режимов измерений. Пользоваться прибором легко и удобно благодаря новому сенсорному экрану, четко структурированному интерфейсу и оптимально структурированному меню. www.rohde-schwarz.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


124

проектирование

схемотехника

Особенности использования двухпортовой памяти при проектировании последовательных КИХ-фильтров в САПР ПЛИС Quartus II Андрей Строгонов, д. т. н. andreistrogonov@mail.ru Сергей Цыбин, к. т. н. tsybin@edc-electronics.ru Павел Городков gorodkoff@gmail.com

В статье рассмотрены последовательные КИХ-фильтры, использующие в своей основе линии задержки на основе двухпортовой памяти и блоки умножения и накопления.

Последовательный КИХ-фильтр на четыре отвода с использованием мегафункции умножения LPM_MULT и накопления ALTACCUMULATE Проанализируем задачу проектирования последовательного КИХ-фильтра на четыре отвода y = C0x0+C1x1+C2x2+C3x3 со следу-

ющими коэффициентами C0 = –2, C1 = –1, C2 = 7 и C3 = 6 в базисе ПЛИС Cyclone II EP2C5F256C8. Рассмотрим проект с использованием мегафункции умножения LPM_MULT и накопления ALTACCUMULATE [1]. Синхросигнал clk используется для тактирования линии задержки, управляющего автомата, умножителя, аккумулятора, вспомогательных триггеров

Рис. 1. Проект последовательного КИХ-фильтра на четыре отвода с использованием мегафункции умножения LPM_MULT и накопления ALTACCUMULATE

Рис. 2. Функциональное моделирование прохождения сигнала по структуре КИХ-фильтра на четыре отвода

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


схемотехника

проектирование

125

Рис. 3. Проект последовательного КИХ-фильтра на четыре отвода с использованием умножителя и аккумулятора на мегафункции ALTMULT_ACCUM

для учета латентности, а clkx2 — для тактирования работы регистра результата. Период синхросигнала clk в два раза больше clkx2. Входной сигнал и коэффициенты фильтра представим с 8‑разрядной точностью. Линия задержки на регистрах, ПЗУ и управляющий автомат представлены verilog-кодом. На рис. 1 показан проект последовательного КИХ-фильтра на четыре отвода, а на рис. 2 демонстрируется функциональное моделирование прохождения сигнала по структуре КИХ-фильтра. На вход фильтра поступает сигнал –5, 3, 1, 0, 0 и 0 т. д. Правильные значения на выходе фильтра: 10, –1, –40, –10, 25, 6 и 0 т. д. Латентность умножителя и аккумулятора составляет 1 такт синхрочастоты. Для согласования работы управляющего автомата и аккумулятора необходимо сигнал first задержать на один такт синхрочастоты с помощью двухтактного триггера, выход которого следует подключить ко входу сигнала синхронной загрузки аккумулятора sload. Результаты умножения и вычисления суммы произведений представляются с 16‑разрядной точностью. Для получения правильных значений профильтрованного сигнала на выходе yn_out[15..0] необходимо выходной сигнал follow задержать на два такта синхрочастоты и подключить его ко входу разрешения тактирования ena регистра результата.

Последовательный КИХ-фильтр на четыре отвода с использованием мегафункции умножения и накопления ALTMULT_ACCUM Рассмотрим вариант, когда умножитель и аккумулятор реализованы на мегафункции умножения и накопления ALTMULT_ACCUM (рис. 3) [1]. Линия задержки, так же как и у проекта на рис. 1, реализована на регистрах.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Данный вариант позволяет получить повышенные рабочие частоты проекта. Выходной сигнал автомата first необходимо подключить к входу синхронной загрузки аккумулятора accum_sload напрямую. Для получения правильных значений профильтрованного сигнала на выходе yn_out[15..0] нужно выходной сигнал follow задержать на один такт синхрочастоты.

Последовательный КИХ-фильтр на четыре отвода с использованием двухпортовой памяти Simple Dual Port в режиме old memory contents appear Блоки памяти ПЛИС фирмы Altera для отображения значений на выходной шине q в случае одновременного чтения и записи по одинаковому адресу могут работать в трех режимах: new_data, old_data и don’t_care. При выборе режима old_data на выходной шине q отображаются старые данные, хранящиеся в ОЗУ по конкретному адресу, прежде чем новые данные будут записаны по этому же адресу в память. У блоков памяти Xilinx такой режим известен как read_first. В режиме don’t_care при одновременном чтении и записи по одинаковому адресу новые данные записываются в память, а на выходной шине q считываемые значения отображаются в виде символа ‘x’ (unknown values). Такой режим для блоков памяти Xilinx не доступен. Режим don’t_care не является критическим для проекта. В режиме new_data новые данные записываются в память и одновременно отображаются на выходе блока памяти. У Xilinx это режим write_first. Выбор режимов new_data, old_data и don’t_care зависит от типа блока памяти TriMatrix. В данном проекте будем использовать блоки памяти типа M4K. Для ПЛИС серий Cyclone II и Stratix II эти блоки функционально эквивалентны.

На рис. 4 представлена имитационная модель последовательного КИХ-фильтра на четыре отвода для реализации в базисе ПЛИС Altera на основе MAC-блока. Более подробно ознакомиться с информационными потоками в структуре КИХ-фильтра можно в работе [2]. Линия задержки на основе двухпортовой памяти и мультиплексора показана на рис. 5. Изменения на шине rd_add(1:0) связаны с чтением содержимого ОЗУ и доступны на выходе, а на шине wr_add(1:0) — с записью информации в ОЗУ. В рассматриваемом примере операции чтения и записи информации в ОЗУ разделены во времени элементом задержки. По умолчанию первоначально память инициализируется вектором [0 0 0 0]. На рис. 6 показаны настройки функционального блока Dual-Port RAM. На основной вкладке упоминается, что включение опции DONT_CARE может дать более высокую частоту проекта fMAX, особенно если память реализована типа MLAB. Когда опция включена, значения на выходной шине q не подвергаются дополнительной регистерной буферизации (и, следовательно, в случае реализации MLAB используется меньше внешних регистров), за счет этого можно получить экономию дополнительного полупериода на выходе. Дополнительно во вкладке Registers and Enables необходимо выходной порт ОЗУ заказать регистерным (Register Output Port). Регистерным должен быть и выходной порт ПЗУ (функциональный блок LUT coefficients, закладка Implementation, опция Register Data). В рассматриваемой модели функциональный блок Increment увеличивает свое содержимое в следующем порядке: 0, 1, 2, 3, а функциональный блок Decoder настроен на выделение 1 из этой последовательности, что в дальнейшем обеспечит запись новых данных в ОЗУ линии задержки по адресу 0. www.kite.ru


126

проектирование

схемотехника

Рис. 4. Имитационная модель последовательного КИХ-фильтра на четыре отвода для реализации в базисе ПЛИС Altera серии Cyclone II на основе одного MAC-блока

Рис. 5. Линия задержки на основе двухпортовой памяти (функциональный блок Dual-Port RAM) и мультиплексора (функциональный блок Multiplexer)

Рис. 6. Настройки функционального блока Dual-Port RAM

Рис. 7. Извлечение синтезируемого VHDL-кода проекта с помощью закладки Export функционального блока Signal Compiler

Рис. 8. Фрагмент VHDL-кода двухпортовой памяти, извлеченный из имитационной модели последовательного КИХ-фильтра на четыре отвода в САПР Quartus II

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


схемотехника

проектирование

127

Рис. 9. Функциональная модель последовательного КИХ-фильтра на четыре отвода с использованием линии задержки на основе двухпортовой памяти

Извлечь код проекта можно с помощью закладки Simple компилятора сигналов (функциональный блок SignalCompiler). В этом случае проект будет состоять из разнородных файлов с расширениями .tdf, .vhd и др. Синтезируемый VHDL-код проекта для реализации в базисе ПЛИС можно получить и с помощью закладки Export (рис. 7). Проект будет состоять из файлов с расширениями .vhd. Анализ VHDL-кода показывает, что и ОЗУ, и ПЗУ реализованы на компоненте altsyncram. Для ПЗУ компонента altsyncram работает в режиме operation_mode => “ROM”, а для ОЗУ в режиме operation_mode => “DUAL_PORT”. В обоих случаях для двухпортовой памяти применяется режим old_data при одновременном чтении и записи по одинаковому адресу (рис. 8). Нашей же целью является разработка функциональной модели КИХ-фильтра по имитационной модели в ручном режиме (рис. 9). В этом случае мы сможем более наглядно разобраться с режимом работы двухпортовой памяти. Увеличим разрядность шины данных ОЗУ с 4 до 8 бит. В отличие от имитационной модели для формирования логической единицы используем сигнал cout двухразрядного счетчика, пропустив его через два триггера. Он будет сигнализировать о том, что коэффициенты фильтра из ПЗУ (мегафункция ROM: 1 port) считаны (аккумулятор за это время должен вычислить «правильную» сумму произведений) и новые данные могут быть записаны в память.

Рис. 10. Мегафункция RAM: 2 port. Режим Old memory contents appear для выходной шины q

На рис. 10 показано, что мегафункция RAM: 2 port для выходных значений на шине q настроена на режим Old memory contents appear.

На рис. 11 представлено функциональное моделирование прохождения сигнала по структуре КИХ-фильтра в режиме Old memory

Рис. 11. Функциональное моделирование прохождения сигнала по структуре КИХ-фильтра на четыре отвода. Режим Old memory contents appear

Рис. 12. Функциональное моделирование прохождения сигнала по структуре КИХ-фильтра на четыре отвода. Режим I do not care

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

www.kite.ru


128

проектирование

contents appear. Рис. 12 показывает функциональное моделирование прохождения сигнала по структуре КИХ-фильтра для режима I do not care. Сравнивая рис. 11 и рис. 12, видим, что при подаче сигнала –5, 3, 1, 0, 0 и 0 т. д. на вход фильтра, в случае если двухпортовая память работает в режиме I do not care, на выходе формируются не требуемые значения 10, –1, –40, –10, 25, 6 и 0 т. д., а значения –40, –10, 25, 6 и 0 т. д., то есть значения 10, –1 пропущены. Тем не менее фильтр после 980 нс начинает формировать правильные значения. До этого момента времени выход фильтра не определен. Для получения синтезированного нетлиста проекта КИХ-фильтра (список сетевых примитивов ПЛИС, таких как логические ячейки, входы/выходы, блоки памяти, умножители и др.) в формате VQM (Verilog Quartus Mapping) при помощи Quartus II необходимо в консоли выполнить две команды [3]: quartus_map <your_project> -c <your_toplevel_design_name> quartus_cdb <your_project> -c <your_toplevel_design_name> --vqm=<your_vqm_file>

Рассмотрим более подробно пример извлечения VQM-файла из проекта. 1. Установить в САПР Quartus II файл верхнего уровня иерархии проекта с помощью команды Set as Top-Level Entity. 2. Выбрать семейство ПЛИС. В меню Assignments/Device выбрать Family: C y c l o n e I I , в ы б р ат ь Ta r g e t d e v i c e : EP2C5F256C8. Сохранить проект. Выйти из САПР Quartus II (первые два действия также можно выполнить в консоли). 3. Выполнить синтез проекта в консоли c помощью команды (для этого предварительно необходимо перейти в каталог, где находится проект): quartus_map <your_project>.

Например, в командной строке файлового менеджера Total Commander подать команду: quartus_map fir_mult_accum.qpf,

где fir_mult_accum.qpf — имя проекта. 4. Извлечь VQM-файл. Для этого в консоли выполнить команду: quartus_cdb <your_project> --vqm=<your_vqm_file>.

Например, в командной строке Total Commander подать команду: quartus_cdb fir_mult_accum.qpf --vqm=netlist2.vqm,

где netlist2.vqm — VQM-файл, который хотим извлечь из проекта fir_mult_accum.qpf.

схемотехника

Наиболее важные сетевые примитивы ПЛИС серии Cyclone II, которые встречаются в VQM-файле: cycloneii_lcell_comb — lut в различных режимах; cycloneii_lcell_ff — регистр; cycloneii_io — блоки ввода/вывода; Cyclone II_mac_mult — умножитель; Cyclone II_mac_out — выходной блок умножителя; cycloneii_ram_block — блок памяти. VQM-файл является выходным файлом САПР Quartus II, но может быть использован и как входной файл какого-либо проекта, в этом случае не придется делать последующие размещение, трассировку и временной анализ. VQM-файл является ограниченным подмножеством формата Verilog и представляет собой технологическое мэппирование (отображение) проекта с помощью сетевых примитивов в уникальный базис ПЛИС. Использование VQM-файлов позволяет подключать как сторонние коммерческие средства синтеза логики, в частности синтезатор Synplicity Synplify, так и академические с открытым программным кодом. VQM-файлы могут быть переконвертированы в blif-формат (Berkeley Logic Interchange Format) для программы логического синтеза ABC и программы проектирования академических ПЛИС VPR. Пример 1 демонстрирует фрагмент VQMфайла с режимом одновременного чтения и записи по одинаковому адресу в блоках памяти. Красным цветом отмечен режим Old memory contents appear. Блок памяти altsyncram емкостью 32 бит состоит из восьми сетевых примитивов с именами ram_ block1a0, ram_block1a1, …, ram_block1a7.

Рис. 13. RTL-представление примитива с именем ram_block1a0, входящего в состав блока памяти altsyncram: altsyncram_component

Рассматривается более мелкий сетевой примитив ram_block1a0 (рис. 13). Пример 2 показывает фрагмент VQM-файла с режимом I do not care (The outputs will be undefined). Рассматривается более мелкий сетевой примитив ram_block1a1. Получить синтезированный нетлист проекта можно на этапе анализа и синтеза (рис. 14) с последующим извлечением VQMфайла c помощью опции Start VQM Writer меню Start (рис. 15). Сформированный VQMфайл можно найти в папке atom_netlist. Линию задержки можно также реализовать на основе двухпортовой памяти с использованием мегафункции altshift_taps (Shift Register (RAM-based)) (рис. 16) со следующими установками: число отводов — 4; дистанция между отводами — 4. Коммутация отводов линии

сycloneii_ram_block \ozu:inst1|altsyncram:altsyncram_component|altsyncram_24s1:auto_generated|ram_block1a0 ( .portawe(vcc), .portbrewe(vcc), .clk0(\clk~clkctrl ), .portadatain({\mux21:inst2|lpm_mux:lpm_mux_component|mux_smc:auto_generated|result_node[0]~7 }), .portaaddr({\inst4[1] ,\inst4[0] }), .portbaddr({\counter:inst5|lpm_counter:lpm_counter_component|cntr_h3i:auto_generated|safe_q[1] ,\counter:inst5|lpm_counter:lpm_counter_ component|cntr_h3i:auto_generated|safe_q[0] }), .portbdataout({\ozu:inst1|altsyncram:altsyncram_component|altsyncram_24s1:auto_generated|q_b[0] })); defparam \ozu:inst1|altsyncram:altsyncram_component|altsyncram_24s1:auto_generated|ram_block1a0 .operation_mode = “dual_port”; defparam \ozu:inst1|altsyncram:altsyncram_component|altsyncram_24s1:auto_generated|ram_block1a0 .ram_block_type = “M4K”; defparam \ozu:inst1|altsyncram:altsyncram_component|altsyncram_24s1:auto_generated|ram_block1a0 .mixed_port_feed_through_mode = “old”; defparam \ozu:inst1|altsyncram:altsyncram_component|altsyncram_24s1:auto_generated|ram_block1a0 .logical_ram_name = “ozu:inst1|altsyncr am:altsyncram_component|altsyncram_24s1:auto_generated|ALTSYNCRAM”; defparam \ozu:inst1|altsyncram:altsyncram_component|altsyncram_24s1:auto_generated|ram_block1a0 .init_file = “content.mif”;

Пример 1. Фрагмент VQM-файла проекта, в котором описывается двухпортовая память с режимом одновременного чтения и записи по одинаковому адресу в блоках памяти (Old memory contents appear)

cycloneii_ram_block \ozu:inst1|altsyncram:altsyncram_component|altsyncram_p7s1:auto_generated|ram_block1a1 ( .portawe(vcc), .portbrewe(vcc), .clk0(clk), .clk1(clk), .portadatain({\mux21:inst2|lpm_mux:lpm_mux_component|mux_smc:auto_generated|result_node[1]~6 }), .portaaddr({\inst4[1] ,\inst4[0] }), .portbaddr({\counter:inst5|lpm_counter:lpm_counter_component|cntr_h3i:auto_generated|safe_q[1] ,\ counter:inst5|lpm_counter:lpm_counter_ component|cntr_h3i:auto_generated|safe_q[0] }), .portbdataout({\ozu:inst1|altsyncram:altsyncram_component|altsyncram_p7s1:auto_generated|q_b[1] })); defparam \ozu:inst1|altsyncram:altsyncram_component|altsyncram_p7s1:auto_generated|ram_block1a1 .operation_mode = “dual_port”; defparam \ozu:inst1|altsyncram:altsyncram_component|altsyncram_p7s1:auto_generated|ram_block1a1 .ram_block_type = “M4K”; defparam \ozu:inst1|altsyncram:altsyncram_component|altsyncram_p7s1:auto_generated|ram_block1a1 .mixed_port_feed_through_mode = “dont_care”; defparam \ozu:inst1|altsyncram:altsyncram_component|altsyncram_p7s1:auto_generated|ram_block1a1 .logical_ram_name = “ozu:inst1|altsyncram:alt syncram_component|altsyncram_p7s1:auto_generated|ALTSYNCRAM”; defparam \ozu:inst1|altsyncram:altsyncram_component|altsyncram_p7s1:auto_generated|ram_block1a1 .init_file = “content.mif”; defparam \ozu:inst1|altsyncram:altsyncram_component|altsyncram_p7s1:auto_generated|ram_block1a1 .init_file_layout = “Port_B”; defparam \ozu:inst1|altsyncram:altsyncram_component|altsyncram_p7s1:auto_generate

Пример 2. Фрагмент VQM-файла проекта, в котором описывается двухпортовая память с режимом I do not care (The outputs will be undefined)

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


схемотехника

проектирование

129

Рис. 14. Компиляция проекта на этапе анализа и синтеза

задержки осуществляется с помощью шинного мультиплексора «четыре-в‑один», на адресный вход которого подключается выход счетчика counter. Для согласования работы линии задержки и ПЗУ используемого для хранения коэффициентов фильтра с блоком умножения и накопления на основе мегафункции ALTMULT_ACCUM к выходу ПЗУ требуется дополнительно подключить два 8‑разрядных регистра. В [4] указано, что для выходного порта q используется только режим Old. В мегафункции altshift_tap не предоставляется изменение режимов работы выходного порта при одновременном чтении и записи по одинаковому адресу, как для мегафункции RAM: 2 port. Результаты функционального моделирования показаны на рис. 17. На рис. 18 изображено RTL-представление линии задержки на основе счетчика и блока памяти. Изучение VQMфайла проекта (пример 3) подтверждает использование данного режима.

Рис. 15. Извлечение VQM-файла c помощью опции Start VQM Writer меню Start

Рис. 16. Линия задержки на основе двухпортовой памяти (мегафункция Shift Register (RAM-based))

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

www.kite.ru


проектирование

130

Общее число задействованных ресурсов проектов показано в таблице. Для сравнения даны оценки ресурсов при реализации КИХфильтра на четыре отвода по имитационной модели с применением методологии объектноориентированного проектирования. Анализ таблицы показывает, что использование двухпортовой памяти в качестве линии задержки позволяет получить наивысшее быстродействие для последовательного КИХ-фильтра.

схемотехника

Рис. 17. Функциональное моделирование прохождения сигнала по структуре КИХ-фильтра (линия задержки на основе мегафункции Shift Register (RAM-based))

Выводы

1. С т р о г о н о в   А . , Ц ы б и н   С . , Го р од ко в   П . Проектирование последовательных КИХфильтров в САПР ПЛИС Quartus II // Компоненты и технологии. 2016. № 1. 2. Строгонов А., Цыбин С., Городков П. Проектирование последовательных КИХ-фильтров в системе визуально-имитационного моделирования Matlab/Simulink с использованием Altera DSP Builder // Компоненты и технологии. 2015. № 11. 3. Quartus II University Interface Program (QUIP). Tutorial. Version 3.1. Altera Corporation. April 6, 2005. 4. Cyclone II Megafunction Feature Functional Description. Version 1.0. Altera Corporation. May 27, 2005.

новости

Аппаратных умножителей с размерностью операндов 9×9 (Embedded Multiplier 9-bit elements)

Рабочая частота в наихудшем случае Fmax, МГц

Рис. 1 Рис. 3 Линия задержки на основе двухпортовой памяти (мегафункция RAM: 2 port, режим Simple Dual Port, без инициализации), ПЗУ для хранения коэффициентов (мегафункция ROM: 1 port, с инициализацией), рис. 4 Линия задержки на основе двухпортовой памяти (мегафункция RAM: 2 port, режим Simple Dual Port, с инициализацией), ПЗУ для хранения коэффициентов (мегафункция ROM: 1 port, с инициализацией), рис. 9 Линия задержки на основе двухпортовой памяти (Shift Register (RAM-based)), рис. 16

Блоков памяти, M4K, бит

Проект

Триггеров логических элементов (Dedicated logic registers)

Таблица. Общие сведения по числу задействованных ресурсов ПЛИС Altera Cyclone II Device EP2C5F256C8, временная модель TimeQuest анализа Slow-model

Число LUT с 4/3/<=2 входами

Литература

Рис. 18. RTL-представление линии задержки на основе мегафункции Shift Register (RAM-based)

Общее число логических элементов (Total logic elements)

Использование двухпортовой памяти в качестве линии задержки, настроенной на режим Old memory contents appear для выходного порта q, позволяет получить наивысшее быстродействие для последовательного КИХфильтра. Так, рабочая частота в наихудшем случае (Fmax) для проекта, у которого линия задержки выполнена на основе двухпортовой памяти (рис. 7), возрастает в 1,81 раза по отношению к проекту, у которого линия задержки выполнена на регистрах, а умножитель и аккумулятор на мегафункциях LPM_MULT и ALTACCUMULATE (рис. 1). В режиме I do not care необходимо учитывать правильность формирования профильтрованных значений на выходе КИХ-фильтра. Так, КИХ-фильтр с линией задержки на двухпортовой памяти в режиме I do not care только после 980 нс начинает формировать правильные значения. До этого момента времени выход фильтра не определен. Линия задержки на основе двухпортовой памяти с использованием мегафункции altshift_taps (Shift Register (RAMbased)) работает только в режиме Old. n

89 91

37 = 16/18/3 37 = 16/18/3

70 72

– –

1 1

105,35 125,05

33

16 = 4/8/4

29

ОЗУ: 16 ПЗУ: 16

1

187,93

49

27 = 0/23/4

41

ОЗУ: 32 ПЗУ: 32

1

190,62

54

ОЗУ: 32 ПЗУ: 64

1

163,03

70

36 = 16/15/5

cycloneii_ram_block\shift_ram_dp:inst13|altshift_taps:altshift_taps_component|shift_taps_bkr:auto_generated|altsyncram_u7a1:altsyncram2|ram_block3a0 ( .portawe(vcc), .portbrewe(vcc), .clk0(clk), .portadatain({d[0]}), .portaaddr({\shift_ram_dp:inst13|altshift_taps:altshift_taps_component|shift_taps_bkr:auto_generated|cntr_ikf:cntr1|safe_q[0] }), .portbaddr({\shift_ram_dp:inst13|altshift_taps:altshift_taps_component|shift_taps_bkr:auto_generated|cntr_ikf:cntr1|safe_q[0] }), .portbdataout({\shift_ram_dp:inst13|altshift_taps:altshift_taps_component|shift_taps_bkr:auto_generated|altsyncram_u7a1:altsyncram2|q_b[0] })); defparam\shift_ram_dp:inst13|altshift_taps:altshift_taps_component|shift_taps_bkr:auto_generated|altsyncram_u7a1:altsyncram2|ram_block3a0 .operation_mode = “dual_port”; defparam\shift_ram_dp:inst13|altshift_taps:altshift_taps_component|shift_taps_bkr:auto_generated|altsyncram_u7a1:altsyncram2|ram_block3a0 .ram_block_type = “M4K”; defparam\shift_ram_dp:inst13|altshift_taps:altshift_taps_component|shift_taps_bkr:auto_generated|altsyncram_u7a1:altsyncram2|ram_block3a0 .mixed_port_feed_through_mode = “old”; defparam\shift_ram_dp:inst13|altshift_taps:altshift_taps_component|shift_taps_bkr:auto_generated|altsyncram_u7a1:altsyncram2|ram_block3a0 .logical_ ram_name = “shift_ram_dp:inst13|altshift_taps:altshift_taps_component|shift_taps_bkr:auto_generated|altsyncram_u7a1:altsyncram2|ALTSYNCRAM”;

Пример 3. Фрагмент VQM-файла проекта. Линия задержки реализуется на основе двухпортовой памяти в режиме Old (мегафункция Shift Register (RAM-based))

источники питания

Новая серия AC/DC-преобразователей TMSB 2 Traco Power Компания Traco Power представляет одну из интереснейших новинок этого года — серию AC/DC-преобразователей TMSB 2. Это новая линейка ультракомпактных (28,4×36,5×14,8 мм) 2‑Вт AC/DC-преобразователей в пластиковом корпусе для монтажа на плату. Отличительной чертой данных устройств

является наличие у моделей с выходным напряжением 8 и 14 В дополнительного выхода на 3,3 либо 5 В. Кроме того, у модулей отсутствует требование по минимальной нагрузке, а также есть возможность регуляции выходного напряжения (±5%). Рабочий диапазон температуры лежит в пределах

от –30 до +70 °C, с падением КПД на 2% после +60 °C. У модулей предусмотрена защита от короткого замыкания и перенапряжения, а встроенный ЭМИ-фильтр соответствует сертификату EN55022 класса Б. www.ptelectronics.ru КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


новости

системы в корпусе

131

Система в корпусе (СвК) СВК102ТМ от АО «Таврида Микроэлектроника» • Гарантированное время реакции ОС: от 0,5 мс. • Типы доступных цифровых интерфейсов: Ethernet, CANopen, PROFIBUS/DP, MODBUS, DeviceNet, CC-Link, AS-Interface, RS‑232, RS‑485, RS‑422, USB2.0/3.0, HDMI, SPI, I2C, 1Wire, OTN. • Дополнительные каналы связи: GSM, Wi-Fi, UNB, LoRa. • Типы аналоговых интерфейсов: – АЦП (32 шт., 10 МГц, –15…+15 В; 8 шт., 200 МГц, –15…+15 В); – ЦАП (32 шт., 10 МГц, –15…+15 В; 8 шт., 200 МГц, –15…+15 В); – «Сухой контакт» (64 шт., 1 кОм). • Диапазон рабочих температур: –55…+125 °C. www.tavrida-m.ru

Реклама

Компания АО «Таврида Микроэлектроника» представляет свою разработку — ЭТСВК102ТМ для АСУТП. Система в корпусе (СвК) СВК102ТМ — это промышленный контроллер сверхбыстрого восстановления. Особенностью контроллера является сверхбыстрое восстановление управляющего процесса при отключении и последующем восстановлении электропитания. При отключении

электропитания активируется система сохранения данных в ОЗУ контроллера, вследствие чего при подаче электропитания работа продолжается с той же программной точки, повторная загрузка ОС и приложений не требуется. Выполнена работа по миниатюризации блока управления для АСУТП. Особенности: • Сверхбыстрое восстановление при отключении и повторном включении электропитания контроллера: от 0,5 мс. • Производительность: 100–4000 DMIPS. • Объем ОЗУ: 1–256 Мбит. • Расширение ОЗУ: до 32 Гбит. • Объем ПЗУ: 0,2–256 Гбит. • Напряжение питания: 7–35 В DC/220 В AC. • Энергопотребление (пиковое): 5–50 Вт. • Энергоэффективность: до 80 MIPS/Вт.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

www.kite.ru


132

проектирование

САПР

Работа с виртуальными приборами LabView в программной среде Multisim 14.0. Часть 2

Татьяна Колесникова beluikluk@gmail.com

П

рименение дополнительных вирт уа л ь н ы х п р и б о р о в L a b V I E W в Multisim расширяет потенциал программы, позволяет выполнять анализ схем и эксперименты, проведение которых было невозможно с набором стандартных виртуальных приборов.

Введение Удобство применения программы Multisim при моделировании электронных устройств заключается в отображении на экране монитора схемы исследуемого устройства и контрольно-измерительных приборов, передние панели которых с органами управления максимально приближены к промышленным аналогам. Для всех приборов доступны изменения режимов их работы и настроек. Применение виртуальных инструментов — самый простой способ проверить поведение модели созданной схемы. В программной среде Multisim виртуальные инструменты представлены в виде пиктограммы инструмента, которая подключается к разрабатываемой схеме, и панели инструмента, где устанавливаются параметры прибора. Принцип соединения виртуальных инструментов с элементами схемы такой же, как и для других компонентов схемы. В каждой схеме может использоваться много приборов, в том числе и копии одного и того же устройства. Кроме того, у каждого окна схемы может быть свой набор приборов. Каждая копия прибора настраивается и соединяется отдельно. Использование виртуальных инструментов в Multisim позволяет сравнивать теоретические данные с реальны-

Программная среда Multisim предоставляет большое количество виртуальных инструментов, предназначенных для генерации тестовых сигналов, а также для измерений и исследования поведения разрабатываемых электрических схем. Если посредством имеющихся приборов не удается решить поставленную задачу, можно прибегнуть к помощи сторонних инструментов, которые импортируются в Multisim из LabView. В статье будет рассмотрена работа со следующими виртуальными приборами LabView в Multisim: прибор измерения передаточной функции (Transfer Function), генератор импульсов с линейной частотной модуляцией (Chirp Advanced).

ми непосредственно в процессе создания схемы, что снижает количество проектных итераций, число ошибок в прототипах и ускоряет выход продукции на рынок. Перед тем как запустить симуляцию схемы в Multisim, необходимо обратить внимание на то, чтобы используемые в схеме виртуальные приборы были правильно настроены. Данное замечание достаточно важно, поскольку в некоторых случаях установка параметров по умолчанию может не подходить для вашей схемы, а установка пользователем некорректных параметров станет причиной того, что полученные результаты окажутся неверными или трудно читаемыми. При появлении проблем в процессе симуляции схемы возникшие ошибки записываются в файл журнала ошибок и аудита, который можно просмотреть, выбрав в основном меню «Моделирование» пункт «Журнал моделирования/анализа». Следует отметить, что настройки виртуальных приборов можно изменять и во время симуляции.

файла с расширением .llb и .dll. Для того чтобы импортировать новый прибор LabView в Multisim, необходимо скопировать эти файлы в каталог по адресу C:\Users\Public\ Documents\National Instruments\Circuit Design Suite 14.0\LVInstruments и перезапустить программу Multisim. Импортированные виртуальные инструменты доступны для работы из меню приборов LabView, открыть которое можно посредством нажатия значка стрелки возле пиктограммы «Приборы LabVIEW», расположенной на панели инструментов «Приборы» (рис. 1). При этом дополнительные приборы в меню отделены от стандартных строкой «Выбранные приборы LV». Чтобы добавить необходимый прибор в рабочее поле программы, нужно левой кнопкой мыши выбрать строку с его названием в этом меню и разместить его на схеме. Для того чтобы отобразить лицевую панель прибора, необходимо дважды щелкнуть левой кнопкой мыши на пиктограмме прибора на схеме.

Использование дополнительных виртуальных приборов LabView в Multisim В Multisim есть возможность работы с виртуальными приборами LabView. Некоторые из них поставляются вместе с самой программой и уже были рассмотрены в [1], другие же можно добавить в систему самостоятельно. На сайте National Instruments по адресу [5] для свободного скачивания предложено 44 виртуальных инструмента, предназначенных для импорта в Multisim. После распаковки архива с нужным прибором мы получим два

Рис. 1. Меню виртуальных приборов LabView в Multisim

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


САПР

проектирование

133

Рассмотрим подробно работу с некоторыми из дополнительных виртуальных инструментов LabView в Multisim. Виртуальный прибор измерения передаточной функции Transfer Function Передаточной функцией называется отношение мгновенных значений выходного и входного сигнала. Передаточная функция только описывает поведение системы в терминах «вход/выход» и не несет никакой информации о внутренних переменных системы и характере их изменения. Нахождение этой функции является значимым вычислением для аналоговых и цифровых схем. Для фильтров систем обратной связи всегда важно найти изменение коэффициента усиления системы, а также то, как изменение в частотной области влияет на время отклика и изменение выходных сигналов. Передаточная функция используется для анализа этих изменений и получения одной функции для их описания в системе. Инструмент LabView Transfer Function выполняет расчет передаточной функции между входным источником и выходной переменной в схеме. Результаты отображаются после запуска симуляции схемы на графическом дисплее, расположенном на вкладке Transfer Function лицевой панели прибора. Перед началом симуляции необходимо произвести настройку параметров прибора Transfer Function. Рассмотрим подробнее работу с данным виртуальным устройством. Для этого поместим инструмент в рабочем поле программы (рис. 2) и подключим его вывод Stim к источнику сигнала, а вывод Resp к выходу схемы (рис. 3). Настройка параметров прибора выполняется на его лицевой панели, которую можно открыть двойным щелчком левой кнопки мыши по пиктограмме данного прибора в рабочем поле программы. Лицевая панель прибора разделена на две вкладки: Transfer Function и Setup. Результаты работы прибора выводятся на вкладке Transfer Function на три графических дисплея в виде графиков зависимости амплитуды от времени (рис. 4а), коэффициента усиления напряжения от частоты, фазы от частоты (рис. 4б). Переключение отображения графиков выполняется тумблером Freq Plot/Time Plot, расположенным в нижней правой части вкладки Transfer Function. Параметры прибора настраиваются на вкладке Setup (рис. 5). Рассмотрим данную вкладку более подробно. В ее верхней левой части расположено поле Sampling («Дискретизация»), в котором устанавливаются значения следующих параметров: • Sampling Rate [Hz] — частота дискретизации; • Interpolation Method — метод интерполяции; • Sample Size — размер выборки; • df [Hz] — частотное разрешение. В верхней правой части вкладки Setup находится поле Transfer Function Display, в котором путем установки/снятия флажков в чекбоксах настраиваются параметры ото-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Рис. 2. Пиктограмма виртуального прибора Transfer Function в рабочей области программы Multisim и его лицевая панель

Рис. 3. Подключение прибора Transfer Function к схеме инвертирующего операционного усилителя

а

б

Рис. 4. Вкладка Transfer Function прибора измерения передаточной функции: а) график зависимости амплитуды от времени; б) графики зависимости коэффициента усиления напряжения от частоты и фазы от частоты

www.kite.ru


134

проектирование

САПР

а

б

в

г

д

е

ж

з

Рис. 5. Вкладка Setup прибора измерения передаточной функции

бражения передаточной функции. Параметр Unwrap Phase определяет развертку фазы. Если флажок в чекбоксе, который соответствует данному параметру, установлен, виртуальный прибор производит развертку массива значений фазы, удаляя разрывы, чьи значения превышают p. Задать значения передаточной функции в децибелах можно установкой флажка рядом с параметром Mag in dB, если флажок снят — установка значений будет выполнена в относительных единицах. Установка флажка в чекбоксе рядом с параметром Deg задает отображение значений фазы в градусах, если флажок снят — установка значений будет выполнена в радианах. Задать логарифмическую шкалу можно установкой флажка рядом с параметром Log X Scale. Параметры быстрого преобразования Фурье (БПФ) настраиваются в поле FFT Processing Options с помощью следующих опций: • Window — выбор оконной функции: Dolph — Chebyshev (окно Дольфа — Чебышева), Kaiser (Кайзера), Welch (Уэлча), Parzen (Парзена), Bohman (Бохмана), Bartlett — Hanning (модифицированное окно Бартлетта — Ханна), Triangle (треугольное окно), Blackman — Nuttall (Блэкмана — Нуталла), Low Sidelobe (с низким уровнем боковых лепестков), 7 Term B‑Harris (семизвенное Блэкмана — Хэрриса), 4 Term B‑Harris (четырехзвенное Блэкмана — Хэрриса), Flat Top (плосковершинное окно), Blackman (Блэкмана), Exact Blackman (точное Блэкмана), Blackman — Harris (Блэкмана — Хэрриса), Hamming (Хэмминга), Hanning (окно Ханна), Rectangle (прямоугольное окно), Gaussian (Гауссовское); • Window Param — параметр окна; • FFT Averaging — усреднение Фурье: Avg Mode (режим усреднения: Peak hold — пиковых значений, RMS averaging — среднеквадратичное усреднение, Vector — векторное усреднение, No averaging — без усреднения), Mode (режим: Linear — линейный, Exponential — экспоненциальный), Averages (установка числа усреднений), Restart Avg (перезапустить усреднение).

Рис. 6. Результат быстрого преобразования Фурье: а) окно Дольфа — Чебышева; б) окно Уэлча; в) окно Парзена; г) окно Бохмана; д) окно Блэкмана — Нуталла; е) плосковершинное окно; ж) окно Ханна; з) Гауссовское окно

Параметр Averages определяет число усреднений, которое используется при среднеквадратичном и векторном усреднениях. Если выбран экспоненциальный режим, то процесс усреднения выполняется непрерывно. При выборе линейного режима процесс усреднения останавливается после вычисления установленного числа усреднений. Быстрое преобразование Фурье позволяет математически получать из временной зависимости сигнала его частотные компоненты, то есть проводить спектральный анализ сигнала.

Оконная функция БПФ используется для подавления краевых эффектов разрывности реальных функций путем введения весовых коэффициентов для выборки данных в окне, обеспечивающих снижение амплитуд краевых точек (старта и стопа) и в итоге улучшение результатов БПФ. Разные виды оконных функций дают различные результаты как по точности, так и по частотному разрешению и используются для разных видов анализируемых сигналов (рис. 6). То есть каждая оконная функция

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


САПР

является определенным компромиссом между разрешающей способностью по частоте и точностью определения амплитуды. В зависимости от области применения, от характеристик источника сигнала и ряда других параметров следует выбирать ту или иную оконную функцию. К примеру, оконная функция Rectangle (прямоугольное окно) реализует отличное разрешение по частоте и наихудшее разрешение по амплитуде. Прямоугольный — наилучший тип для спектрального анализа непериодических сигналов и измерения частотных компонентов вблизи постоянного сигнала. Это окно подходит для сигналов, не имеющих разрывов, то есть для большинства сигналов. Выбор окна Ханна (Hanning) обеспечивает большую точность измерения по частоте, но меньшую точность измерения по амплитуде по сравнению с плосковершинным окном (Flat Top), которое имеет наилучшую точность для амплитуды из всех типов, но проигрывает по селективности частоты. Результаты, представленные на рис. 6, были получены при следующих настройках прибора Transfer Function: • Sampling Rate [Hz] — 100 000; • Interpolation Method — Linear; • Sample Size — 1000; • df [Hz] — 99,9; • Unwrap Phase — флажок установлен; • Mag in dB — флажок установлен; • Deg — флажок установлен; • Log X Scale — флажок установлен; • Window Param — 1; • Avg Mode — RMS averaging; • Mode — Linear — линейный; • Averages — 10; • Software LPF — флажок снят. В нижней левой части вкладки Setup находится поле настройки параметров фильтра нижних частот Software LPF, в котором можно задать следующие опции: • Software LPF — назначение фильтра выполняется посредством установки флажка в чекбоксе; • Fs (частота дискретизации) — этот параметр определяет частоту выборок, его значение должно быть больше нуля; • Order (порядок) — этот параметр определяет порядок фильтра, который должен быть больше нуля; • Topology (топология) — топология определяет тип модели фильтра: – Butterworth (Баттерворта) — частотная характеристика фильтра Баттерворта характеризуется гладкостью на всех частотах и монотонностью спада, начинающейся с некоторой частоты среза (частоты, на которой мощность выходного сигнала уменьшается в два раза). Фильтры Баттерворта имеют максимально плоскую характеристику в полосе пропускания и ноль в полосе заграждения. При фиксированной частоте среза крутизна характеристики зависит от порядка фильтра. Графики за-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

а

проектирование

135

б

Рис. 7. Графики зависимости коэффициента усиления напряжения от частоты и фазы от частоты: (а) до и (б) после установки фильтра Баттерворта

а

б

Рис. 8. Графики зависимости коэффициента усиления напряжения от частоты и фазы от частоты: (а) до и (б) после установки фильтра Чебышева

а

б

Рис. 9. Графики зависимости коэффициента усиления напряжения от частоты и фазы от частоты: (а) до и (б) после установки эллиптического фильтра

висимости коэффициента усиления напряжения от частоты и фазы от частоты до и после установки фильтра Баттерворта показаны на рис. 7. При этом были заданы следующие опции фильтра нижних частот: Fs — 5k, Order — 6, а в поле Window установлена оконная функция Кайзера; – Chebyshev (Чебышева) — фильтры Чебышева минимизируют амплитуду ошибки в полосе пропускания, имеют более узкую переходную полосу (большую крутизну спада) и обеспечивают максимально плоскую характеристику в полосе заграждения. Равномерная характеристика в полосе пропускания ограничивается мак-

симальной допустимой величиной ошибки (величиной выброса). Фильтры Чебышева минимизируют амплитуду ошибки в полосе заграждения и обеспечивают максимально плоскую характеристику в полосе пропускания. При этом крутизна характеристики в переходной полосе превышает крутизну фильтра Баттерворта при том же порядке, что позволяет уменьшить абсолютную ошибку и повысить скорость обработки сигнала. Графики зависимости коэффициента усиления напряжения от частоты и фазы от частоты до и после установки фильтра Чебышева показаны на рис. 8. Были заданы следующие опции фильтра www.kite.ru


136

проектирование

нижних частот: Fs — 6k, Order — 5, а в поле Window установлена оконная функция Triangle; – Elliptic (эллиптический) — эллиптические фильтры минимизируют величину ошибки, распределяя ее по полосе пропускания и по полосе заграждения. По сравнению с фильтрами Баттерворта и фильтрами Чебышева эллиптические фильтры обеспечивают самую высокую крутизну переходной области. Графики зависимости коэффициента усиления напряжения от частоты и фазы от частоты до и после установки эллиптического фильтра показаны на рис. 9. При этом были заданы следующие опции фильтра нижних частот: Fs — 4k, Order — 4, а в поле Window установлена оконная функция Low Sidelobe. Отметим, что опции фильтра нижних частот и оконная функция на вкладке Setup окна настроек Transfer Function были заданы произвольным образом. Скачать виртуальный инструмент Transfer Function можно на сайте National Instruments по адресу [6]. Генератор импульсов с линейной частотной модуляцией Chirp Advanced Линейно частотно-модулированный сигнал представляет собой последовательность импульсов, в которой период каждого импульса на определенную величину больше (или меньше) периода предыдущего импульса. Для создания линейно частотно-модулированных сигналов в Multisim можно использовать виртуальный генератор, в качестве которого используется Chirp Signal Generator Advanced — виртуальный прибор LabView. Chirp Signal Generator Advanced (расширенный многофункциональный генератор линейно частотно-модулированных сигналов с широким набором регулируемых параметров) выдает данные как источник сигнала, который Multisim использует в процессе симуляции. Перед началом симуляции необходимо произвести настройку параметров генератора. Рассмотрим подробнее работу с данным виртуальным прибором. Для чего разместим генератор в рабочем поле программы и подключим его выход Chirp к каналу А двухканального осциллографа. Настройка параметров генератора выполняется на его лицевой панели, которую можно открыть двойным щелчком левой кнопкой мыши по пиктограмме данного прибора в рабочем поле программы. Пиктограмма генератора на схеме и его лицевая панель представлены на рис. 10. На рис. 11 показано подключение прибора к виртуальному двухканальному осциллографу и лицевая панель осциллографа. Лицевая панель генератора Chirp Advanced разделена на две вкладки (рис. 12): Chirp и Setup. Набор параметров, доступных для

САПР

Рис. 10. Пиктограмма генератора Chirp Advanced на схеме и его лицевая панель

Рис. 11. Подключение инструмента Chirp Advanced к виртуальному двухканальному осциллографу и лицевая панель осциллографа

а

б

Рис. 12. Окно отображения и настроек линейно частотно-модулированного сигнала: а) вкладка Chirp; б) вкладка Setup

настройки на вкладке Setup, может различаться в зависимости от выбранного в поле Configuration закона изменения амплитуды линейно частотно-модулированного (ЛЧМ) сигнала. Генерируемый сигнал отображается в окне графического дисплея на вкладке Chirp. Генератор позволяет формировать Л Ч М - с и г н а л с о гл а с н о с в ы б р а н н ы м в поле Configuration типом модуляции: Exponential Increase Chirp (ЛЧМ-сигнал, амплитуда которого нарастает по экспоненциальному закону) — рис. 13, Exponential

Decay Chirp (ЛЧМ-сигнал, амплитуда которого спадает по экспоненциальному закону) — рис. 14, Standard Linear Chirp (стандартный ЛЧМ-сигнал) — рис. 15, Amp Modulated Chirp (амплитудно-модулированный ЛЧМ-сигнал) — рис. 16, а также с законом изменения частоты ЛЧМ-сигнала: Hold at Freq (генерация одного цикла ЛЧМсигнала с последующей установкой периодического сигнала), Hold at Final (генерация одного цикла ЛЧМ-сигнала с последующей установкой постоянного напряжения),

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


САПР

Reverse Chirp (генерация реверсивного ЛЧМ-сигнала). В нижней части вкладки Setup можно задать значения следующих параметров ЛЧМсигнала: • Freq Initial — начальная частота; • Freq Final — конечная частота; • Amplitude — амплитуда; • Sample Rate [Hz] — частота дискретизации; • Sample — выборка; • Amplitude Final — амплитуда сигнала при достижении конечной частоты; • Modulation Freq — частота модулирующего сигнала; • K — коэффициент сжатия. Результаты работы прибора будут получены после запуска симуляции схемы и нажатия на кнопку Generate на вкладке Setup. Необходимо отметить, что чем выше значение частоты дискретизации, тем выше качество выходного сигнала. Осциллограммы, представленные на рис. 13–16, получены при следующих настройках прибора Chirp Advanced: • Freq Initial — 10; • Freq Final — 600; • Amplitude — 1; • Sample Rate [Hz] — 100 000; • Sample — 2000; • Amplitude Final — 0,1; • Modulation Freq — 1; • K — 250. Напомним: для того чтобы использовать виртуальный двухканальный осциллограф, необходимо нажать на его пиктограмму на панели инструментов «Приборы» и разместить его с помощью мыши в рабочей области проекта. Для отображения лицевой панели прибора нужно дважды щелкнуть левой кнопкой мыши на пиктограмме осциллографа на схеме. После того как панель откроется, сделайте соответствующие настройки подобно тому, как бы вы это сделали на панели реального прибора. Принцип соединения виртуального осциллографа с элементами схемы такой же, как и для других компонентов схемы. Виртуальный двухканальный осциллограф предоставляет возможность наблюдать за формой сигнала во времени. Каждый канал имеет сигнальный вход и контакт заземления. В программе Multisim осциллограф заземлен по умолчанию, поэтому контакт заземления можно не использовать. В верхней части лицевой панели расположен графический дисплей, предназначенный для графического отображения формы сигнала, а именно для отображения напряжения по вертикальной оси и соответственно времени по горизонтальной оси. Также прибор оснащен двумя курсорами для проведения измерений во временной области, которые при необходимости можно перемещать при помощи левой кнопки мыши. В нижней части находится панель управления, предназначенная для настройки отображения измеряемого сигнала. Более подробно работа

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

а

проектирование

137

б

в Рис. 13. Осциллограммы ЛЧМ-сигнала, амплитуда которого нарастает по экспоненциальному закону. Закон изменения частоты сигнала: а) Hold at Freq; б) Hold at Final; в) Reverse Chirp

а

б

в Рис. 14. Осциллограммы ЛЧМ-сигнала, амплитуда которого спадает по экспоненциальному закону. Закон изменения частоты сигнала: а) Hold at Freq; б) Hold at Final; в) Reverse Chirp

б

в

а Рис. 15. Осциллограммы стандартного ЛЧМ-сигнала. Закон изменения частоты сигнала: а) Hold at Freq; б) Hold at Final; в) Reverse Chirp

с виртуальным двухканальным осциллографом рассмотрена в [10]. В нашем случае виртуальный двухканальный осциллограф используется для графического отображения формы ЛЧМ-сигнала, полученного на выходе виртуального генератора Chirp Advanced. Скачать виртуальный инструмент Chirp Advanced можно на сайте National Instruments по адресу [7].

Заключение Инженеры используют разнообразные измерительные приборы для наблюдения и контроля при выполнении исследований и проектировании, тестировании и производстве электронных изделий, при разработке и совершенствовании систем управления механизмами и технологическими www.kite.ru


проектирование

138

САПР

помогают получать, анализировать и представлять результаты измерений в виде, наиболее удобном для использования (таблиn цы/графики).

Литература а

б

в Рис. 16. Осциллограммы амплитудно-модулированного ЛЧМ-сигнала. Закон изменения частоты сигнала: а) Hold at Freq; б) Hold at Final; в) Reverse Chirp

процессами в многочисленных отраслях промышленности. Технология виртуальных измерительных приборов объединяет аппаратные средства и программное обеспечение с промышленными компьютерными технологиями для решения измерительных задач, причем свойства этих решений в значительной степени определяются пользователями. Виртуальную измерительную систему можно собрать из различных программных компонентов. Технология виртуальных измерительных приборов может быть использована для создания готовых к применению специализированных систем измерения и тестирования, а также систем промышленной автомати-

новости

зации путем объединения различных аппаратных и программных компонентов. При внесении изменений в системы, как правило, можно повторно использовать одни и те же компоненты виртуальных приборов, не приобретая дополнительные технические средства и программное обеспечение. Основной задачей всех измерительных систем является измерение и/или генерация реальных физических сигналов. Обработка исходных данных путем аппроксимации, статистического анализа, получения частотных характеристик и других математических операций превращает эти данные в информацию, готовую к последующей интерпретации. Виртуальные измерительные приборы

1. Колесникова Т. Работа с виртуальными приборами в программной среде NI Circuit Design Suite — Multisim 12.0. Часть 5 // Компоненты и технологии. 2014. № 5. 2. Колесникова Т. Работа с виртуальными приборами LabView в программной среде Multisim 14.0 // Компоненты и технологии. 2016. № 3. 3. NI Circuit Design Suite — Getting Started Guide. National Instruments, April 2015. 4. Multisim Help. National Instruments, April 2015. 5. www.decibel.ni.com/content/groups/multisimcustom-simulation-analyses-and-instrumentspowered-by-labview?view=documents#/?tagS et=1481 6. www.decibel.ni.com/content/docs/DOC‑21201 7. www.decibel.ni.com/content/docs/DOC‑21166 8. Суранов А. Я. LabVIEW 8.20. Справочник по функциям. М.: ДМК-Пресс, 2007. 9. LabVIEW. Руководство пользователя. National Instruments, 2007. 10. Колесникова Т. Работа с виртуальными приборами в программной среде NI Circuit Design Suite — Multisim 12.0. Часть 2 // Компоненты и технологии. 2014. № 2. 11. Измерения в LabVIEW. Руководство по применению. Учебный центр «Центр технологий National Instruments». Новосибирский государственный технический университет, 2006.

измерительная аппаратура

Новая серия портативных анализаторов спектра от Rohde & Schwarz • • • • •

Компания Rohde & Schwarz выпускает новую серию портативных анализаторов спектра R&S FPH. Прибор является наследником сверхуспешной модели R&S FSH3, изготовление которой было начато в 2002 году и продолжается по сей день. Секрет успеха предшественника, как и высокий потенциал новой модели, определяются следующими факторами: • доступная цена;

высокое быстродействие; высокая чувствительность; простота и удобство эксплуатации; малый вес (2,5 кг); высокий уровень автономности (время работы от одной батареи до 8 часов). Портативный анализатор спектра R&S FPH обладает новым эргономичным дизайном, интуитивно понятным графическим интерфейсом и выдающимися техническими характеристиками, что позволяет ему быть универсальным инструментом, соответствующим самым современным потребностям. Отличительные особенности: • частотный диапазон: от 5 кГц до 2/3/4 ГГц (защита программным ключом); • высокая скорость первичной загрузки: менее 15 с; • 8 часов работы от батареи. • вес: 2,5 кг; • подсветка клавиш для работы в условиях плохого освещения и режим дневного света; • набор специализированных опций для различных типовых применений.

Благодаря концепции по модернизации прибора путем установки программных опций или даже расширения рабочего частотного диапазона при помощи программного ключа, пользователь получает возможность оптимизации расходов на измерительное оборудование. При этом, в случае возникновения соответствующей потребности, прибор может быть модернизирован без отправки в сервисный центр, что позволяет избежать дополнительных затрат. Емкостный сенсорный экран прибора позволяет быстро и удобно производить различные настройки интуитивно понятными жестами. Например, для изменения центральной частоты или полосы обзора достаточно просто провести одним или двумя пальцами по экрану. Установка или отключение маркера производится двойным кликом по экрану или двойным перечеркиванием соответственно. Прочие настройки режима отображения и измерения, такие как ширина фильтра ПЧ, опорный уровень и прочие, также доступны на виртуальной панели экрана. www.rohde-schwarz.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама

Реклама

139

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

www.kite.ru


140

новости

беспроводные технологии

Новые Bluetooth и Wi-Fi-модули Microchip Компания Microchip Technology, Inc. представляет новые беспроводные Bluetooth- и Wi-Fi-модули. Bluetooth-модули RN4677 поддерживают спецификацию 4.0 Dual Mode (Bluetooth Low Energy и Bluetooth Classic EDR). В обоих режимах реализуется потоковая передача данных: SPP (Serial Port Profile) для Bluetooth Classic и «прозрачная последовательная передача по UART» (Transparent UART) для Bluetooth LE. Это позволяет осуществлять потоковую передачу информации как для ПК и Android-, так и для iOS-устройств.

новости

Bluetooth-модули RN4020 имеют спецификацию 4.0 Bluetooth Low Energy, обеспечивают поддержку нескольких стандартных Healt-профилей и создание приватного профиля. Особенность модулей RN4020 — удаленное выполнение команд и пользовательских скриптов, что дает возможность использования модуля в автономном режиме без внешнего управляющего микроконтроллера. www.gamma.spb.ru

датчики

Интеллектуальный бесконтактный угловой энкодер от Contelec (Novotechnik) могут быть использованы для контроля механических процессов или сигнализировать об определенных процессах. Спецификация: • абсолютная погрешность по углу: 5,3 угл. мин; • линейность: ±0,1%; • повторяемость результатов измерений: не более 0,15%; • диаметр: 33,325 мм; • длина: 46 мм; • класс защиты: IP67; • рабочая температура: –40…+ 85 °C; • ресурс работы: 350 млн оборотов. Все вместе, возможность программирования и управление выходными параметрами, может

существенно упростить дальнейшую обработку выходного сигнала датчика и значительно расширить область его применения. www.west-l.ru

Реклама

Компания Contelec (Novotechnik) анонсирует новое семейство 12‑разрядных угловых энкодеров RSC3700 для измерения абсолютного угла (360°). В ходе данной процедуры измеренные данные сверяются с механической нулевой меткой, что позволяет не утратить их даже в случае потери питания. Встроенный в датчик микропроцессор помогает получить характеристики, необходимые конкретному потребителю. Выходной сигнал датчика пропорционален угловому положению вала и представляет собой стандартный набор аналоговых сигналов: 4–10 мА, 0–10 В, 0,5–4,5 В или ШИМ. Энкодеры RSC 3700 могут быть сконфигурированы так, чтобы генерировать до двух аналоговых или цифровых (триггерных) сигналов, которые

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


электромагнитная совместимость

проектирование

141

Десять советов для успешного проектирования автомобильной электроники с выполнением требований по ЭМС/ЭМП

Марк Зауэрвальд (Mark Sauerwald) Перевод: Владимир Рентюк

Как и вся автоиндустрия в целом, так и отдельные производители оборудования и систем для автомобилей должны выполнять требования по электромагнитной совместимости (ЭМС). Например, два основных требования регламентируют, что сами электронные системы не должны излучать чрезмерных электромагнитных помех (ЭМП) и иметь защиту от таких помех, испускаемых другими системами автомобиля.

В

статье рассматриваются основные требования, а также предлагается ряд советов и методов, которые могут быть использованы для того, чтобы спроектированное вами оборудование автомобиля соответствовало необходимым нормам по ЭМС.

Краткий обзор требований по ЭМС Документ Международного специального комитета по радиочастотам — CISPR 25 («Транспортные средства, суда и двигатели вну-треннего сгорания. Характеристики радиопомех. Пределы и методы измерения для защиты бортовых приемников» — комментарии переводчика) — это основной стандарт, описывающий методы проведения испытаний с указанием предельно допустимых значений уровней излучения от компонента (узла, блока, устройства), установленного на транспортном средстве [1, 2]. В дополнение к требованиям, определенным в стандарте CISPR 25, большинство про-

Рис. 1. Типичная испытательная камера, в покрытии стен и потолка которой используются специальные пирамидальные поглощающие структуры, что позволяет исключить отражения

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

изводителей имеют свой собственный набор внутренних стандартов, ужесточающих нормы CISPR 25. (Так, в Российской Федерации действует дополняющий CISPR 25 стандарт ГОСТ Р 51318.25-2012 (СИСПР 25:2008) «Совместимость технических средств электромагнитная. Транспортные средства, моторные лодки и устройства с двигателями внутреннего сгорания. Характеристики индустриальных радиопомех. Нормы и методы измерений для защиты радиоприемных устройств, размещенных на подвижных средствах» — комментарии переводчика). Основная цель изложенных в CISPR 25 методов испытаний заключается в том, чтобы убедиться, что компонент, который планируется к установке в транспортное средство, например в автомобиль, не будет создавать помехи и мешать нормальному функционированию других систем внутри этого транспортного средства. В положениях документа CISPR 25 сказано, что электромагнитный шум в помещении или камере для проведения испытаний должен быть не менее чем на 6 дБ ниже самых низких уровней, необходимых по условиям измерения. Поскольку в стандарте CISPR 25 есть требования по уровням электромагнитного излучения, не превышающим 18 дБ (мкВ/м), то для их оценки уровень внешних электромагнитных помех не должен превышать 12 дБ (мкВ/м). Для справки: это примерно напряженность поля типичной АМ-радиостанции в 1 км от ее излучающей антенны [3]. В нынешних условиях единственный способ выполнить это требование заключается в проведении испытаний в специальной экранированной камере или помещении, спроектированном и построенном для защиты испытуемого оборудования от влияния внешних полей. Кроме того, исходя из разумных затрат, естественно, необходимо, чтобы такая камера имела приемлемые конечные размеры. Здесь весьма важной является эффективная защита испытуемого оборудования от воздействия на него еще и отраженных сигналов, которые могут возникнуть внутри самого испытательного помещения, в частности отражения от его стен. Поэтому стены испытательной камеры следует облицовывать материалом, который будет поглощать, а не отражать электромагнитные волны (рис. 1). Камеры для испытаний — сооружения весьма дорогие, и, как правило, их арендуют лишь на время проведения испытаний. Тем не менее, чтобы в дальнейшем сократить возможные расходы, правильным решением является оценка проблем ЭМС/ЭМП еще на этапе проектирования оборудования, это позволит получить положительные результаты уже на первых испытуемых образцах. www.kite.ru


142

проектирование

электромагнитная совместимость

Еще один международный стандарт, ISO 11452-4 Bulk Current Injection (BCI), содержит перечень испытаний, которые используются для определения устойчивости электронных компонентов легковых и грузовых транспортных средств к электрическим помехам от узкополосного излучения электромагнитной энергии. Проверка также осуществляется в экранированной камере с искусственным введением сигналов помех непосредственно в жгут проводов при помощи токовых клещей связи (в Российской Федерации действует версия этого стандарта в виде межгосударственного стандарта ГОСТ ИСО 114524-2007 «Транспорт дорожный. Методы испытаний компонентов на устойчивость к воздействию узкополосного излучения электромагнитной энергии. Часть 4. Инжекция объемного тока», который полностью идентичен стандарту ISO 11452-4 — комментарии переводчика).

Десять советов для успешного выполнения требований в части ЭМС 1. Уменьшение площади петель Когда присутствует переменное магнитное поле, то петля из токопроводящего материала действует в качестве антенны и преобразует магнитное поле в ток, протекающий по контуру. Сила тока в контуре пропорциональна площади петли. Таким образом, в каждом конкретном случае необходимо насколько это возможно вывести петли из зоны действия внешних полей и максимально сократить их площадь. Примером петли, которая может реально появиться на практике, является вариант использования для передачи сигналов дифференциальной линии. Здесь петля может образовываться между передатчиком и приемником с дифференциальными линиями связи. Другой распространенный вариант петли — если в одной общей системе оборудования имеется две разнесенные в пространстве подсистемы, например дисплей и блок управления двигателем ECU (англ. ECU — Engine Control Unit), который передает информацию на этот дисплей. Здесь существует петля общего заземления (GND) по шасси транспортного средства, а именно подключение провода заземления в точке размещения дисплея и подключение заземляющего проводника уже в точке размещения блока управления двигателем. Когда видеосигнал подсоединен к дисплею через свое собственное заземление, то данное подключение может создать одну огромную петлю внутри всего контура заземления, что в некоторых случаях становится неизбежным. Тем не менее после введения катушки индуктивности или ферритовых элементов (бусинок, колец, муфт) в цепь связи этого соединения с «землей» петля по постоянному току будет функционировать, как и прежде, но радиочастотное излучение прекратится. Кроме того, когда сигналы передаются по витым парам, петля формируется из каждой дифференциальной пары передатчик/приемник. Как правило, такая петля имеет небольшую площадь в кабельной части линии передачи, поскольку свитые провода такой пары тесно связаны между собой. Однако как только сигнал попадает на плату, то необходимо принять соответствующие меры для предотвращения увеличения площади петли, то есть ее раскрытия. 2. Шунтирующие конденсаторы весьма полезны Микросхемы технологии КМОП (англ. CMOS) очень популярны, в частности благодаря своим высоким скоростям переключения и чрезвычайно низкой собственной рассеиваемой мощности. Идеальная КМОП-микросхема рассеивает энергию, только когда меняет свое состояние и ее межузловые емкости либо заряжены, либо разряжены. С точки зрения электропитания схема КМОП, которой в среднем необходим ток в 10 мА, может во много раз увеличить ток потребления в моменты переключения, сохраняя малое потребление тока между рабочими циклами. Поэтому методы ограничения излучений здесь сфокусированы на пиковых выбросах токов и напряжений, а не на их средних уровнях. Основным источником излучения помех в этом случае является бросок тока потребления, возникающий во время переключения микросхемы на ее выводах подключения питания. Однако если в непо-

средственной близости к выводам питания микросхемы установить шунтирующий конденсатор, то повышенный ток, необходимый для ее питания во время переключения, будет поступать уже не из источника питания, а из этого конденсатора. Затем заряд в конденсаторе между циклами переключения накапливается более низким уровнем тока и уже без броска. Конденсаторы большой емкости хороши для подачи продолжительных импульсов тока, но, как правило, они слабо реагируют на короткие всплески при переключениях на высоких частотах. В отличие от них конденсаторы с малой емкостью могут быстро среагировать на импульс тока потребления, но их общая мощность заряда ограниченна и может быстро исчерпаться, не выполнив свою задачу. Наилучшее решение для большинства схем — сочетание конденсаторов различной емкости, соединенных параллельно. Например, это может быть два конденсатора номиналом 1 и 0,01 мкФ. Причем конденсатор меньшей емкости необходимо размещать максимально близко к выводам питания микросхемы, в то время как конденсаторы большей емкости, а они еще и больше по размерам, могут быть размещены немного дальше. 3. Хорошее согласование импедансов минимизирует излучение электромагнитных помех Когда через линию передачи посылается высокоскоростной сигнал и он сталкивается с неоднородностью волнового сопротивления в этой линии (то есть имеет место рассогласование импедансов), то часть сигнала отражается обратно к источнику сигнала, а часть продолжает двигаться в первоначальном направлении. Такие отражения неизменно приводят к излучению электромагнитных помех. Для обеспечения низкого уровня излучения помех при передаче высокоскоростных сигналов необходимо принять все меры для их передачи без отражений и искажений. Решению данной проблемы посвящено множество интересных публикаций, предоставляющих информацию по проектированию высокоскоростных линий передачи [4, 5]. Ниже приведены основные моменты, на которые необходимо обратить внимание при проектировании таких линий передачи: • Всегда помните, что сигнал проходит по контуру, образованному сигнальным проводником и общим проводом, который часто выполняется в виде отдельного «земляного» слоя печатной платы. Причиной паразитного излучения помех здесь могут быть разрывы и неоднородности, причем как в самом сигнальном проводнике, так и в заземляющем слое печатной платы. Поэтому следует помнить о том, чтобы под сигнальными дорожками в заземлении не было ни вырезов, ни разрывов. • Старайтесь избегать острых углов на сигнальных дорожках при их разводке. Красиво изогнутые углы гораздо лучше, чем резкие прямоугольные повороты. • Часто сигнальные кабели связи с дисплеем будут иметь отдельные, не связанные непосредственно с передачей сигналов компоненты. Это могут быть линии с разъемами питания, проложенные поверх коаксиального кабеля, конденсаторы для обеспечения развязки по постоянному току и многое другое. Чтобы свести к минимуму отражения на компонентах, старайтесь применять компоненты небольших размеров, например типоразмера 0402, и использовать ширину печатного проводника такую же, как и контактная площадка элемента. Кроме того, убедитесь, что вы выполнили требование по волновому сопротивлению линии передачи непосредственно на печатной плате. Это осуществляется путем регулирования толщины диэлектрика между наложенными друг на друга проводниками платы (они образуют полосковую линию). 4. Экранирование Не забывайте и не пренебрегайте техникой экранирования. При проектировании с целью минимизации уровня электромагнитных помех можно поставить экран вокруг излучающей помехи части цепи. Однако цепь все еще может излучать помеху, но хороший защитный экран захватит это излучение и отправит его на «землю», прежде чем оно выйдет из блока наружу. На рис. 2 показано, как экра-

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


электромагнитная совместимость

Тем не менее некоторые платы имеют вырезы в заземляющем слое, что может привести к увеличению пути тока. И хотя в итоге точка заземления элемента оказывается подсоединенной, но удлиненный путь ее подключения действует уже в качестве антенны для излучения или приема электромагнитных помех.

а

б

Рис. 2. Пример влияния экранирования: а) типичная проблема в виде ЭМП; б) решение проблемы ЭМП путем экранирования

нирование может уменьшить уровень излучаемых электромагнитных помех. Существуют различные формы экранирования. Это может быть как простое помещение некоего устройства в глухой токопроводящий корпус, так и использование небольших металлических экранов, которые опаяны по контуру и накрывают лишь определенные источники электромагнитных помех на печатной плате. 5. Заземляющие цепи и проводники: чем короче, тем лучше Каждая порция тока, протекающего в микросхему, выходит из нее обратно. В этой статье уже было указано, что необходимо обеспечить минимально короткие соединения между микросхемой и блокировочными конденсаторами по ее питанию, также было дано несколько советов по уменьшению площади петель и т. д. Однако часто забывают, что для тока есть еще и путь по общему проводу (заземлению), по нему ток должен вернуться обратно к своему источнику, поскольку контур для его протекания всегда замкнут. В идеальной ситуации плата должна иметь отдельный внутренний слой именно для заземления (общий провод), и элемент будет подключен к общей цепи не таким длинным проводником, как в случае использования отдельного заземляющего проводника.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

6. Быстродействие должно быть оправданным, а не максимальным Для обеспечения минимального временного рассогласования сигналов существует тенденция, предусматривающая использование максимально быстродействующей логики. Это вызвано желанием избежать так называемых логических гонок, способных привести к разрушению сигналов. К сожалению, такая быстродействующая логика отличается высокой крутизной фронтов и, как следствие, очень высоким содержанием высокочастотных гармоник, производящих электромагнитные помехи. Один из способов уменьшить уровень ЭМП в системе — использование, насколько возможно, более низкоскоростной логики, которая по-прежнему удовлетворяет требованиям синхронизации, но уменьшает уровень излучаемых помех. Многие программируемые логические схемы (ПЛИС) позволяют программировать драйверы (выходные каскады) на более низкие уровни выходного тока, что является одним из способов уменьшения крутизны фронтов. В некоторых случаях на логических линиях могут быть применены последовательно включенные резисторы, которые образовывают фильтры низкой частоты с собственной емкостью линии связи и входными емкостями и тем самым уменьшают скорости нарастания сигналов в системе. 7. Дроссели в цепях питания весьма полезны В ходе обсуждения совета № 2, как способа уменьшить влияние бросков тока, было предложено использовать блокировочные конденсаторы в цепях питания микросхем. Дроссели на линиях подачи питания представляют собой другую сторону той же медали. Размещая дроссель или ферритовый элемент (бусинку, кольцо или муфту) на линии подачи питающего напряжения, вы принуждаете подключенные к таким линиям нагрузки реализовывать свои потребности в части динамического потребления тока в большей степени за счет не блокировочных конденсаторов, а прохождения импульсов тока по всему пути туда и обратно к источнику питания. 8. Конденсаторы по входу и выходу импульсных стабилизаторов обязательны Одна из постоянно повторяющихся тем в поиске решения вопросов ЭМП — снижение (насколько это возможно) скорости на-

проектирование

143

растания напряжения dv/dt и/или тока di/dt. В этом контексте DC/DC-преобразователи могут показаться совершенно безвредными, пока вы не поняли, что они не преобразовывают непосредственно напряжение постоянного тока в напряжение постоянного тока. Они реализуют свою функцию, преобразуя напряжение постоянного тока в напряжение переменного тока, а уже потом осуществляют его обратное преобразование в напряжение постоянного тока. Следовательно, в середине их рабочего цикла мы имеем напряжение переменного тока, которое может вызвать проблемы в части превышения допустимого уровня излучения электромагнитных помех. Одна из областей, где конструкторы автомобилей весьма озабочены наличием таких помех, — радиоприемники с диапазонами, работающими в режиме амплитудной модуляции (AM). Сегодня почти каждый автомобиль оснащен радиоприемником с AM-диапазонами, которые характеризуются очень высокой чувствительностью и соответственно обладают высоким коэффициентом усиления усилителя радиочастоты в диапазоне от 500 кГц до 1,5 МГц. Если компонент излучает сигнал в этой полосе частот, то, вероятно, он будет слышен в виде помехи по радио. Многие импульсные блоки питания используют рабочие частоты переключения в пределах именно этой полосы частот, что приводит к проблемам в автомобильной промышленности. В результате большинство ориентированных на автомобильную промышленность импульсных стабилизаторов напряжения используют частоты переключения, которые лежат выше этой полосы, — обычно они работают на частоте 2 МГц или выше. При недостаточной фильтрации по входу и выходу таких импульсных стабилизаторов некоторые из коммутационных помех могут проникать в другие подсистемы автомобиля, чувствительные либо к основной рабочей частоте DC/DCпреобразователя, либо к ее гармоникам. 9. Необходимо отслеживать и устранять паразитные резонансы в цепях Как же отмечалось, для различных источников помех, для уменьшения такого негативного явления, как повышенные значения dv/dt и di/dt, которые могут привести к недопустимо высокому электромагнитному излучению, используются катушки индуктивности и конденсаторы. Тем не менее и сами дроссели и/или конденсаторы могут оказать негативное влияние на решение этой проблемы, что связано с их собственным паразитным резонансом. Данную проблему можно устранить, добавив резистор параллельно катушке индуктивности. Такой резистор необходим для уменьшения способности поглощать энергию колебаний при резонансе, прежде чем эти колебания станут настолько большими, что вызовут проблемы. Другая www.kite.ru


144

проектирование

электромагнитная совместимость

потенциальная проблема возникает в том случае, когда имеется индуктивность, либо включенная последовательно в виде дискретного компонента, либо это паразитная индуктивность линии подачи электропитания. Дело в том, что когда такая индуктивность нагружена на устройство с шунтирующим конденсатором, то полученный в этом случае LC-контур может легко возбуждаться на своей резонансной частоте. Опять же, подобный резонанс может быть демпфирован резистором, установленным параллельно с дросселем. 10. Размытие спектра тактовой частоты позволяет уменьшить пиковые уровни излучения помех В таких составляющих частях оборудования в системе автомобилия, которые имеют шину данных и шину с тактовой частотой как, например, содержащие сочетание параллельно-последовательного и последовательно-параллельного преобразователей дисплеев, такие шины имеют возможность работы в режиме с расширенным спектром (англ. spread-spectrum clocking), который еще называют технологией размытия спектра. В этом режиме тактовый сигнал дополнительно модулируется. В результате энергия помехи, вырабатываемая фронтами тактовых импульсов и импульсов передачи сигналов по шине данных, распределяется (размывается) по более широкой полосе частот, чем это происходит без размытия спектра. Поскольку технические требования к электромагнитным помехам предусматривают ограничения по пиковым выбросам на любой частоте в пределах заданной полосы, размытие такого шума в более широком диапазоне помогает свести к минимуму пики помех (использование данного метода позволяет уменьшить уровень помех обычно на 6–10%). Однако следует заметить, что это может отрицательно отразиться на работе чувствительных к форме сигнала устройств, соответственно, прибегать к подобному методу следует с известной осторожностью. Для иллюстрации практического воплощения такого подхода хорошим примером является использование микросхемы последовательно-параллельного преобразователя DS90UB914A-Q1 (десериализатор), часто применяемого в сочетании с микросхемой DS90UB913A-Q1, представляющей собой параллельно-последовательный преобразователь (сериализатор). Эти устройства предназначены для создания канала видеосвязи между камерой в современной системе помощи водителю — ADAS (англ. ADAS — Advanced Driver

новости

Assist System) и процессором. Десериализатор восстанавливает сигнал тактовой частоты, предоставленный сериализатором от датчика изображения в видеокамере, и вместе с данными передает эти импульсы для обработки в процессор. Здесь для передачи информации используются 10 или 12 высокоскоростных линий передачи данных, которые одновременно с линией несущей сигнал тактовой частоты (ее значение также достаточно высоко) являются основными источниками электромагнитных помех. Чтобы уменьшить проблему, связанную с их излучением, микросхема десериализатора DS90UB914A одновременно использует тактовую частоту с размытием спектра, но это размытие лежит ниже уровня паразитной фазовой модуляции (джиттера), созданного самим сенсором изображения. Установка тактовой частоты с расширенным спектром осуществляется через соответствующие регистры в десериализаторе.

Выводы В критических ситуациях, возникающих при эксплуатации транспортного средства, современные автомобили все больше полагаются на электронику. Таким образом, существует растущая потребность обеспечить ее функционирование без сбоев в присутствии помех и не создавать помех другим системам внутри транспортного средства. Следуя советам и методам, изложенным в этой статье, а также за счет правильного выбора соответствующих компонентов инженеры могут создавать передовые решения, надежно защищающие автомобильные системы от электромагнитных помех. n

Литература 1. Vehicles, boats and internal combustion engines — Radio disturbance characteristics — Limits and methods of measurement for the protection of on-board receivers. CISPR 25, 2008. 2. Rodriguez V. Automotive Component EMC Testing: CISPR 25, ISO 11452-2 and equivalent Standards. Safety & EMC, 2011. 3. AM Broadcast Groundwave Field Strength Graphs. FCC Encyclopedia. 4. Wadell B. C. Transmission Line Design Handbook. Artech House, Jan 1, 1991. 5. Johnson H. W., Graham M. High Speed Signal Propagation: Advanced Black Magic. Prentice Hall Professional, 2003.

измерительная аппаратура

Новые измерители импеданса АКИП‑6111 Компания «ПриСТ» пополнила линейку измерителей импеданса АКИП новой компактной моделью АКИП‑6111. Измеритель импеданса АКИП‑6111 позволяет проводить измерения компонентов с погрешностью ±0,05% (базовая), диапазон частот тест-сигнала от 20 Гц до 300 кГц. Преимущества и ключевые особенности: • Плавная установка частоты тест-сигнала в полном диапазоне. • Функция качания по частоте до 300 точек (результат в виде графика/таблицы). • Выбор скорости измерения (800 мс/Slow; 200 мс/Fast). • Одновременное отображение на экране двух параметров. • Измерение сопротивления на постоянном токе (DCR). • Допусковый тест (Pass/Fail) в режиме измерения абсолютных (Abs) или относительных значений (Δ). • Большой графический ЖК-дисплей (диаг. 11 см, 480×272 точек). • Широкий набор интерфейсов ДУ на задней панели прибора: USB (Virtual COM), GPIB, LAN. • USB-интерфейс на передней панели прибора предназначен для сохранения настроек, результатов измерений или снимка экрана.

Новинку отличает компактный дизайн с габаритами корпуса 2UH (1/2 ширины стойки 19″), что является одним из эксплуатационных преимуществ измерителя. АКИП‑6111 может быть удобно размещен в стандартном шкафу, на столе или на лабораторном стеллаже, что позволяет оптимально использовать пространство рабочего места. Анализатор импеданса АКИП‑6111 с широким набором режимов и функций является оптимальным решением для оснащения подразделений R&D (НИОКР), служб входного контроля (IQC), научных лабораторий с целью измерений и тестирования пассивных компонентов. www.prist.ru

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


новые технологии

145

Графен-на-стекле позволяет получить легированный транзистор Питер Кларк (Peter Clarke) Перевод: Владимир Рентюк

Г

рафен представляет собой лист, сформированный из углерода толщиной в один слой атомов, обладающий высокой подвижностью электронов. На его основе ученые уже разработали пригодную для использования в промышленных масштабах и недорогую технологию для изготовления микроэлектронных и оптикоэлектронных приборов. Высокая проводимость и прозрачность графена делают его кандидатом для использования в качестве прозрачного токопроводящего электрода, который позволит заменить относительно хрупкий и дорогостоящий оксид индия и олова (ITO — indium tin oxide) в таких приложениях, как солнечные батареи, органические светодиоды (OLED), плоские дисплеи и сенсорные экраны. Ученые изготовили графеновые устройства на подложках из натриево‑кальциево‑силикатного стекла — в настоящее время самого распространенного стекла, которое применяется для изготовления бутылок и окон, и обнаружили, что присутствие атомов натрия в стекле дало положительный эффект. Этот эффект оставался устойчивым в устройствах даже после того, как они подверглись внешнему воздействию на открытом воздухе на протяжении нескольких недель. На рис. 1 представлены: микроснимок, полученный со сканирующего электрон-

Рис. 1. Микроснимок, полученный со сканирующего электронного микроскопа (он сверху), и снимок CIGS/графен-интерфейса (CIGS — селенид меди-индия-галлия)

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Команда ученых из Университета штата Нью-Йорк разработала метод создания графеновых слоев на обычном стекле с добавкой, дающей преимущество легирования.

ного микроскопа (он сверху) с белым масштабирующим прямоугольником размером 10 мкм, и снимок CIGS/графен-интерфейса (CIGS — селенид меди-индия-галлия), полученный на трансмиссионном электронном микроскопе, здесь белый масштабирующий прямоугольник имеет размер 100 нм [1]. «Натрий в составе натриево‑кальциево‑силикатного стекла создает высокую плотность электронов в графене, которая имеет важное значение для многих процессов, и ее было очень сложно добиться», — сказал Нандитха Диссанаяке (Nanditha Dissanayake) из компании Voxtel, Inc., ранее работавший в Брукхейвенской национальной лаборатории, а также в журнале Scientific Reports. Работа группы ученых первоначально была сосредоточена на оптимизации солнечного фотоэлемента, содержащего графен, который размещался на полупроводнике из селенида меди-индия-галлия (CIGS). В свою очередь полупроводник был помещен на промышленной подложке из натриево‑кальциевого стекла. Затем, чтобы обеспечить основу для проверки эффектов последующего легирования, ученые провели предварительные испытания этой новой системы. Однако тесты показывали нечто уж совсем странное — графен уже оказался оптимально легированным без введения какихлибо дополнительных химических веществ. На рис. 2 представлена схема графенового полевого транзистора, использованного в данном исследовании. Устройство состоит из ячейки солнечного элемента, содержащей графен, наложенный поверх полупроводника — селенида меди-индия-галлия (CIGS), который, в свою очередь, был размещен на промышленной подложке, выполненной из натриево‑кальциевого стекла (SLG) или из боросиликатного стекла (BSG) без содержания натрия [1]. При испытаниях было установлено, что легирующей добавкой для графена стали атомы натрия. Этот эффект дал возможность сформировать жизненно важную для создания транзисторных устройств часть, в которой различие в электронно-дырочной плот-

ности вносит вклад в их действие. Механизм локализации, благодаря которому натрий выступает в качестве легирующей примеси, стал предметом кропотливого исследования системы и ее производительности в самых различных условиях, в том числе при непосредственном изготовлении устройств и измерении влияния степени легирования на широком диапазоне подложек как с содержанием натрия, так и без него. Сотрудничество в этом направлении было продолжено уже во главе с учеными департамента США по энергетике (DOE — Department of Energy) и Брукхейвенской национальной лаборатории, а также с Университетом штата Нью-Йорк в Стоуни-Брук (SBU) и Колледжем Наноскейл Сайенс энд Инжиниринг из политехнического института SUNY. Теперь ученые должны более глубоко исследовать основы этого нового механизма легирования и более тщательно изучить материалы на предмет их устойчивости в реальных условиях эксплуатации. Первые результаты, однако, предполагают, что стекло-графеновый метод оказался намного более устойчив к деградации, чем это наблюдается при использовании многих других методов легирования. n

Литература 1. Брукхейвенская национальная лаборатория США. www.bnl.gov

Рис. 2. Схема графенового полевого транзистора

www.kite.ru


146

технологии

материалы

Термоинтерфейсы KERATHERM

Павел Агафонов Николай Зотов reom@reom.ru

Непрерывно растущие технические требования, предъявляемые промышленностью к электронным и электрическим устройствам, привели к тому, что проблема отвода тепла обретает особое значение. Более высокие частоты, миниатюризация компонентов, повышенная функциональность и увеличенные параметры мощности устройств ведут к повышению температуры, которая должна контролироваться для обеспечения необходимых эксплуатационных характеристик, а также стабильности и долговечности в течение длительного периода времени. Для рассеяния тепла и снижения температуры электронных компонентов, как правило, используются теплоотводы, охлаждающие пластины и вентиляторы.

К

ачество теплового контакта соответствующих теплопроводных материалов при этом приобретает особую важность. Компания KERAFOL, выпускающая продукты KERATHERM, предлагает эффективный, несложный и экономически выгодный ряд.

При выборе необходимого термоинтерфейса важными критериями являются следующие параметры: тепловое сопротивление, теплопроводность и диэлектрические свойства материала. Тепловое сопротивление Способность тела препятствовать распространению теплового движения молекул называется тепловым сопротивлением. На тепловое сопротивление влияет несколько факторов (таблица). Тепловое сопротивление материалов KERATHERM представлено на рис. 1.

Что такое KERATHERM KERATHERM — это продукты с высокой степенью эластичности, содержащие теплопроводные и электрически изолирующие одиночные или многокомпонентные полимеры, выполненные на основе керамики и теплопроводных материалов. При механическом упрочнении с применением стекловолокна или других материалов, KERATHERM является универсальным продуктом, который по многим аспектам превосходит обычные керамические или слюдяные диски.

Преимущества и свойства KERATHERM Теплопроводные пленки KERATHERM характеризуются высокой теплопроводностью и электрической изоляцией. В отличие от дисков, изготовленных из слюды, алюминия или полиамида, KERATHERM может использоваться без теплопроводящего компаунда. В сравнении с теплопроводящими компаундами, которые все еще имеют достаточно широкое распространение, KERATHERM не высыхает при непрерывном использовании и в течение многих лет сохраняет высокие свойства теплопроводности. При использовании продуктов KERATHERM проблемы монтажа, ошибки при смазке и сборке могут быть исключены.

Продукт KERATHERM, созданный на основе силикона, облегчает монтаж компонента благодаря своим самоклеящимся свойствам. Возможна поставка материала с односторонним клейким покрытием (по дополнительному заказу), что обеспечивает долгосрочное крепление, даже в перевернутом положении компонента. Поверхность KERATHERM гладкая, что позволяет обеспечить отсутствие пузырьков воздуха, которые могли бы помешать теплопередаче между компонентом и теплоотводом. Материал заглаживает микроскопические неровности контактных поверхностей, что усиливает тепловой контакт и, таким образом, улучшает рассеяние тепла.

Теплопроводность Теплопроводность — это способность твердого, жидкого или газообразного вещества проводить тепловую энергию. Скорость, с которой тепловая энергия переходит с одной частицы на другую, когда материал нагревается, определяет теплопроводность. Удельная теплопроводность — это внутреннее свойство материала проводить энергию. Отличные тепловые характеристики KERATHERM (рис. 2) гарантируются благодаря использованию специальных материалов с соответствующей удельной теплопроводностью. Диэлектрические свойства Важное требование к изолятору состоит в том, чтобы он мог выдержать указанное в спецификации напряжение пробоя диэ-

Таблица. Факторы, влияющие на тепловое сопротивление Толщина материала

Увеличение толщины материала повышает тепловое сопротивление, уменьшение толщины изоляции снижает тепловое сопротивление.

Давление

В идеальных условиях увеличение давления сборки снижает тепловое сопротивление.

Площадь поверхности

Увеличение площади поверхности или теплового контакта снижает тепловое сопротивление.

Материал

Величина теплового сопротивления зависит от выбранного материала.

Поверхность

В зависимости от текстуры поверхности (гладкая или шероховатая) внутреннее сопротивление может быть высоким или низким. Для гладких поверхностей тепловое сопротивление будет ниже, чем для неровных и шероховатых поверхностей.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


материалы

лектрика. При подаче напряжения на продукт KERATHERM он не должен вызывать короткого замыкания. Напряжение пробоя диэлектрика материала определяется в большой степени его толщиной и химическим составом (рис. 3). Пленки KERATHERM изготавливаются на современных производственных предприятиях в виде стандартных, либо специализированных продуктов по требованию заказчика, в непрерывном технологическом процессе. Пленки можно заказать в рулоне или в виде отдельного, уже перфорированного материала толщиной от 50 мкм до нескольких миллиметров. Эластичные керамические пленки могут быть изготовлены различной геометрической формы. Пленки KERATHERM в основном стойки к воздействию воды, масла и других смесей, органических растворителей и хлорированных углеводородов, а также очищающих составов, применяемых для обезжиривания и мойки теплоотводов, корпусов и печатных плат. Однако следует обратить внима-

технологии

147

Рис. 1. Тепловое сопротивление материалов KERATHERM

Рис. 2. Теплопроводность материалов KERATHERM

ние на то, что некоторые из этих составов способны вызывать утолщения открытых кромок теплопроводящей пленки. После вы-

сыхания открытые кромки возвращаются в свое первоначальное состояние без изменения тепловых или электрических свойств.

Заключение Компания ЗАО «РЕОМ СПб» является единственным официальным дистрибьютором компании KERAFOL на территории Российской Федерации. По запросу мы предоставим всю необходимую информацию, а также пробники продукции KERATHERM. n

Рис. 3. Диэлектрические свойства материалов KERATHERM

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

www.kite.ru


148

новости

измерительная аппаратура

Новый осциллограф R&S RTO2000 от Rohde & Schwarz

Обладая скоростью захвата 1 млн осцилл./с, R&S RTO2000 устанавливает новый стандарт в своем классе приборов. Пользователи получают возможность быстрого обнаружения единичных ошибок сигнала. Прибор R&S RTO2000 обеспечивает высокоскоростной анализ даже при включенных функциях построения гистограмм и тестирования по маске. Оптимизированный пользовательский интерфейс делает прибор R&S RTO2000 очень простым в управлении. Благодаря 12,1‑дюймовому емкостному сенсорному экрану с поддержкой жестов и цветовым кодированием элементов управления можно без труда сконфигурировать прибор для любой измерительной задачи. С помощью функции SmartGrid пользователи имеют возможность настроить отображение осциллограмм под свои требования. Интерфейс обеспечивает быстрый доступ к основным инструментам на панели инструментов и позволяет документировать результаты измерения и настройки прибора нажатием одной кнопки. Панель приложений обеспечивает прямой доступ ко всем имеющимся приложениям, в частности к функциям запуска и декодирования, испытаниям на соответствие стандартам и проверке целостности сигналов, I/Q‑анализу и даже к пользовательским средствам разработки. Осциллограф R&S RTO2000 доступен в виде двух- или четырехканальной модели с полосой пропускания 600 МГц, 1, 2, 3 или 4 ГГц. www.rohde-schwarz.ru

Реклама

Компания Rohde & Schwarz представляет новый осциллограф R&S RTO2000 — самый компактный лабораторный осциллограф для нескольких областей анализа. При его использовании для проверки разрабатываемых устройств специалисты могут проводить анализ взаимодействия таких сложных узлов, как источники питания, процессорная система и система датчиков. Осциллограф R&S RTO2000 способен отображать взаимосвязь результатов измерений во временной и частотной областях, а также результатов логического анализа и анализа протоколов. Через аналоговые входные каналы пользователь одновременно видит сигнал во временной и частотной областях, а при необходимости и временную спектрограмму. Добавленные в прибор функций, в частности список пиков, детекторы максимальных значений и логарифмический масштаб отображения, делают частотный анализ еще более эффективным. Новая зональная функция запуска обеспечивает возможность графического разделения событий во временной и частотной областях. Пользователи могут задавать до 8 зон произвольной формы. Сигнал запуска активируется при пересечении или непересечении исследуемым сигналом заданной зоны. Такая возможность значительно облегчает обнаружение возмущений в спектре при выявлении и устранении ЭМП или выделение циклов чтения/записи устройства хранения во временной области анализа. R&S RTO2000 — первый в своем классе прибор с объемом памяти до 2 млрд отсчетов. Временная метка запуска позволяет оценить временную корреляцию. Пользователи могут просматривать все сохраненные сигналы и проводить их анализ с помощью таких инструментов, как масштабирование, измерительные функции, математические функции, а также функции анализа спектра. Обработка сигналов в специализированных интегральных схемах и интеллектуальное управление памятью обеспечивают бесперебойную обработку длительных импульсных и протокольных последовательностей. Новый осциллограф R&S RTO2000 имеет уникальные рабочие характеристики. Режим высокой четкости (HD) увеличивает вертикальное разрешение до 16 бит для наблюдения сигнала во всех подробностях. Режим HD активирует настраиваемую НЧ-фильтрацию сигнала после АЦП. Пользователи могут настроить запуск по всем, даже самым мелким деталям сигнала.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016



150

технологии

Развитие производств токопроводящей полимерной упаковки для электронной отрасли в России Финский производитель токопроводящих компаундов Premix Oy — изготовитель полимерных материалов с различным уровнем электропроводности — намерен участвовать в развитии российских предприятий, выпускающих упаковку и тару для электроники и компонентов. О компании, ее роли в решении проблем, связанных с электростатичностью, а также о перспективах развития отечественных производств тары и упаковки для транспортировки электронных компонентов рассказала менеджер по развитию бизнеса Premix Oy Оксана Хелениус. — Оксана, расскажите, пожалуйста, о компании Premix Oy. — Компания Premix Oy была основана в 1972 году как семейный бизнес. Сегодня Premix Oy является ведущим мировым специализированным производителем электропроводящих компаундов. Головной офис и основное производство расположено в городе Раямяки в Финляндии. Компания имеет совместное предприятие в Китае с представительским офисом, представительство в Германии и дистрибьюторскую сеть более чем в 30 странах мира. В настоящее время номенклатура насчитывает 16 000 марок для различных применений.

Примечательно, что импульсом развития данного направления для компании Premix Oy послужил запрос одного из субподрядчиков компании Nokia. В целях исключения повреждений электронных компонентов от разрядов статического электричества всемирно известный производитель телефонов потребовал от субподрядчиков использования ESD-упаковки. Вообще, при производстве и транспортировке проблема обеспечения защиты электронных компонентов от статических разрядов актуальна для предприятий электронной промышленности. Например, согласно статистике, средние ежедневные потери электронной промышленности США от электростатических зарядов составляют 10–18% продукции. За год затраты, обусловленные такими потерями и ремонтом или дополнительным обслуживанием оборудования, доходят до $10 млрд. — Применительно к полимерам, ставшим благодаря высокой технологичности, хорошим механическим свойствам и сравнительно невысокой стоимости основным материалом для производства тары и упаковки, но являющимся по своей природе диэлектриками, способными накапливать статическое электричество, — каковы перспективы данного материала при упаковывании и транспортировке элементов электроники и микроэлектроники? — Полимерные материалы обладают, как известно, высокими значениями поверхностного электрического сопротивления (Rs = 1013–1017 Ом) и поэтому долго сохраняют заряд — потенциальный источник возникновения разряда искры. Соответственно, даже незначительные перемещения элементов микроэлектроники внутри непроводящей упаковочной тары приводят к возникновению статического электричества. При этом величина напряжения разряда может достигать нескольких тысяч вольт. Помимо величины напряжения разряда, критическим фактором является скорость его нарастания, которая определяет уровень перенапряжения. Чем быстрее происходит разряд, тем более губительным он будет для чувствительных элементов микроэлектроники. Основным способом снижения RS является создание внутри полимерного изделия трехмерной электропроводящей матрицы. Это делается с помощью введения большого (10–30%) количества специальных саж, углеродных волокон и других хорошо проводящих электрические заряды наполнителей. Благодаря этому достигаются значения RS в интервале 102–1011 Ом. В целом электропроводность пластиков, наполненных электропроводящими частицами, определяется электрическими свойствами этих частиц, их дисперсностью, объемным содержанием и равномерностью распределения в объеме материала. Электрорассеивающие пластики (104 Ом ≤ RS < 1011 Ом) в отличие от электропроводящих материалов (RS < 104 Ом), включая металлы или пластики с высокой степенью наполнения электропроводящими частицами, позволяют снижать уровень накопления заряда и сглаживать электрический разряд (рис. 1). Вот почему при отсутствии постоянного заземления всегда рекомендуется использовать для перевозки и хранения элементов электроники упаковку и тару из электрорассеивающих пластиков.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


технологии

151

Рис. 2. ESD сотовый полипропилен

Рис. 1. Характерная зависимость «напряжение U — время t» при прохождении электростатического разряда через упаковку из электропроводящих (1) и электрорассеивающих (2) материалов (пунктиром показан уровень напряжения, при котором возможно повреждение материала)

— Введение сажи в полимеры — известный способ превращения этих диэлектриков в электропроводящие материалы. В чем «секрет» материалов марки PRE-ELEC? — При отсутствии соответствующего опыта и знаний в области составления компаундов добавление сажи приводит к существенному снижению механических свойств электропроводящих пластиков. Саженаполненные компаунды требуют особого внимания и специального обращения с ними. Например, их высокая гигроскопичность предполагает обязательную предварительную сушку непосредственно перед переработкой. В процессе производства при приложении высоких напряжений электропроводящая углеродная сеть сажи легко разрушается и теряет свою электропроводность. На основе знаний поведения электропроводящего наполнителя как в процессе приготовления компаунда, так и в составе готового материала компания Premix Oy ведет новые разработки и создает качественные электропроводящие компаунды для различных областей применения. — Какие задачи позволяет решить ваша продукция на предприятиях электронной промышленности? — Premix Oy производит токопроводящие компаунды PRE-ELEC на основе различных полимеров с диапазоном поверхностного сопротивления продукции от 102 до 1011 Ом. В их число входят концентраты и компаунды на основе технического углерода (сажи), углеродных волокон, а также на основе IDP (inherently dissipative polymers) — полимеров с собственной частичной электропроводимостью. Отмечу, что продукция на основе PRE-ELEC не теряет своих электропроводящих свойств (не путать с антистатичностью, носящей временный характер) с течением времени. Такие компаунды в составе различных материалов (полипропилен, полиэтилен, полистирол, поликарбонат, АБС акрилонитрилбутадиен-

Рис. 3. ESD полиэтиленовая пена

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

стирол, термопластичный полиуретан, термопластичный эластопласт и др.) применяются для изготовления контейнеров и тары для электронных компонентов, а также гофролистов, ленты-носителя для микрочипов, пленок и листов (перерабатываемых затем в лотки методом термоформования). Одним из перспективных материалов является ESD сотовый полипропилен (рис. 2), заменяющий широко используемый гофрокартон. В отличие от «традиционного» материала, полимерный не вбирает влагу, долговечен, ударопрочен, не пылит и, самое главное, — защищает от статических разрядов. Еще один вид упаковки на основе электропроводящих компаундов PRE-ELEC — ESD полиэтиленовая пена (рис. 3). С точки зрения снижения массы упаковки и безопасности транспортировки элементов электроники это наиболее эффективный вариант. Вспененные материалы не деградируют со временем, уберегают компоненты от влаги, ударов и статических разрядов. — На российском рынке тары и упаковки для нужд электронной отрасли преобладают традиционные материалы, например гофрокартон, а также продукция на основе ESD-полимеров зарубежного производства. Как компания Premix Oy намерена участвовать в развитии отечественных производств электрорассеивающих пластиков для изготовления упаковки и тары? — Premix Oy напрямую не связана с электронной отраслью. Но мы намерены наладить систему обратной связи с российскими разработчиками и производителями электроники и электронной компонентой базы, поскольку именно они являются конечными потребителями и лучше всего могут обозначить технические требования к упаковке из полимеров. Связующим звеном должен стать наш официальный дистрибьютор — ООО «Тэлко», чьи основные офисы расположены в Санкт-Петербурге и Москве. Таким образом, российские производители электроники и ЭКБ при востребованности ESD-упаковки могут обращаться напрямую к ООО «Тэлко» или непосредственно ко мне для подбора российского субподрядчика, имеющего необходи-

Рис. 4. ESD-треи/поддоны

www.kite.ru


новости

технологии

мое оборудование для производства упаковки. Сегодня финская компания сотрудничает с рядом российских производителей, среди которых компания «Тара» (Санкт-Петербург), выпускающая ESD-лотки и ящики для перевозки и хранения компонентов. Компания «Лада-Лист» (Тольятти) производит ESDтреи/поддоны (рис. 4) и коврики. Для российских потребителей, закупающих тару, упаковку, лотки и другую продукцию на основе ESD-полимеров в США, Европе и странах Азии, развитие отечественных производств позволит существенно сократить издержки на эти цели. В отличие от готовой импортируемой продукции, применение лишь компонентов — полимерных компаундов PRE-ELEC — в ее производстве на территории России поможет минимизировать влияние курса валют на стоимость конечной продукции. К тому же в одной стране заказчикам и изготовителям проще вести коммуникации, в том числе при выпуске новой продукции под конкретные задачи клиентов. При этом в России пока выпускаются не все разновидности ESD-упаковки. Мы готовы помочь наладить производство ESD-пленки, ESD полиэтиленовой пены, а также коробок из сотового полипропилена и паллет/поддонов для транспортировки. В России, например, широко представлена переработка «обычного» изоляционного полипропилена. Но, поскольку электронная от-

Таблица. Удельное поверхностное электрическое сопротивление RS-компаундов серий PRE-ELEC и PRE-ELEC ESD компании Premix Oy и их место в ряду других материалов, характеризуемых их электропроводностью Материал

Представители материалов (характеристика)

>1011

Изоляционный

Ненаполненные полимеры

1011–108

Рассеивающий*

PRE-ELEC ESD (компаунды на основе IDP)

108–104

Рассеивающий**

PRE-ELEC (саженаполненные компаунды)

104–101

Проводящий

PRE-ELEC (компаунды на основе сажи и углеродных волокон, концентраты)

<101

Высокопроводящий

Металлы

RS, Ом

Примечание. * С относительно низкой электропроводимостью. ** С относительно высокой электропроводимостью.

расль не заявляет о потребности в ESD сотовом полипропилене (вероятно, в силу малой осведомленности о данном виде упаковки), производители полимеров пока не спешат с выпуском новой продукции. При этом ввод в эксплуатацию производства ESD сотового полипропилена можно наладить оперативно и без дополнительных инвестиций, так как его переработка осуществляется на тех же линиях, что и обычного (таблица). n Интервью подготовил Сергей Веретенников

ВЧ/СВЧ-элементы

HMC424A — широкополосный аттенюатор с цифровым управлением от Analog Devices, Inc. Компания Analog Devices, Inc. представляет HMC424ALP3E — широкополосный аттенюатор с цифровым управлением, который выполнен по технологии GaAs (галлий-арсенид) и работает в полосе частот от нуля до 13 ГГц. Шестибитный цифровой аттенюатор предназначен для регулировки ослабления от 0,5 до 31,5 дБ, а обратные потери не превышают 4 дБ. Контрольные входы управляются напряжением 0…–5 В. Микросхема действует в диапазоне температур –55…+85 °C. Благодаря широкой полосе частот в высокой точности аттенюации HMC424A подойдет для использования в широкополосных системах радиосвязи, в том числе спутниковой связи. HMC424A выпускается в пластиковых корпусах для поверхностного монтажа LP3. Основные технические характеристики: • Напряжение питания: +5 В • Полоса частот: 0–10 ГГц. • Обратные потери: 4 дБ. • Значение P1dB: 23 дБм. • Значение IP3: 42 дБм. • Температурный диапазон: –55…+85 °C. www.eltech.spb.ru

Реклама

152

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


154

технологии

измерительная аппаратура

Обзор возможностей источников питания AMETEK по тестированию авиационного оборудования Руслан Хансуваров ruslan.hansuvarov@eltech.spb.ru

В статье рассмотрены возможности источников питания постоянного и переменного тока для тестирования авиационного оборудования, предназначенного для работы в электрических сетях летательных аппаратов коммерческих авиалиний, построенных компаниями Boeing и Airbus.

Введение Господствующее положение Boeing и Airbus на рынке пассажирских самолетов сформировалось в девяностых годах двадцатого века и связано в первую очередь с крупными слияниями и поглощениями в аэрокосмической промышленности, а также с изменениями в Восточной Европе и бывшем Советском Союзе, которые нарушили экономические связи предприятий аэрокосмической промышленности бывших стран так называемого соцлагеря. На данный момент лишь в сегменте узкофюзеляжных самолетов конкуренцию Boeing и Airbus составляют бразильский Embraer и канадский Bombardier, а самолеты других стран находятся на стадии мелкосерийной сборки (как, например, Sukhoi Superjet 100) или проектируются (это касается, прежде всего, пассажирских самолетов китайских авиапроизводителей). Таким образом, для производителей оборудования летательных аппаратов, в частности авионики, важны стандарты для сетей электропитания, которые устанавливают для своих самолетов компании Boeing и Airbus, а также способы проверки оборудования на соответствие этим стандартам.

Описание стандартов в отрасли авиастроения Большая часть оборудования, разрабатываемого для эксплуатации на летательных аппаратах (ЛА) на коммерческих линиях, должна удовлетворять нормам по электропитанию, описанным в электротехнических разделах следующих стандартов: RTCA-DO160; тесту на соответствие требованиям и стандартам Boeing — 787B3-0147; тестам на соответствие требованиям и стандартам Airbus — AMD‑24, ABD0100.1.8, A350/ABD0100.1.8.1. В статье дана оценка каждому из этих тестов исходя из общедоступной информации. RTCA-DO160 — стандарт Радиотехнической комиссии в аэронавтике (RTCA) и Европейской комиссии по оборудованию гражданской авиации (EUROCAE), регламентирующий нормы для оборудования ЛА в части воздействия температуры, воздействия температурных колебаний, молниезащиты, воздействия обледенения, воздействия оплавления, параметров электропитания, пыле- и пескозащиты такого оборудования и т. д. [1]. Стандарт 787B3-0147 базируется на документе, выпущенном Boeing под названием «787 Качество электроэнергии и требования разработки» (787 Electrical Power Quality and Design Requirements), и касается требований к электропитанию оборудования одного из самых популярных широкофюзеляжных самолетов, эксплуатируемых в мире, — Boeing 787 Dreamliner. На данный момент произведено

354 самолета этой модели, что составляет около 10% мирового парка широкофюзеляжных самолетов. AMD‑24 — стандарт, регламентирующий параметры радиотехнических систем ЛА и их компонентов, в том числе систем электропитания. Актуальной является версия Е от 10.08.2011 г. [2]. ABD0100.1.8, A350/ABD0100.1.8.1 представляют собой стандарты электропитания для авиационного оборудования самолетов Airbus A350 и Airbus A380. Из-за процессов унификации и интеграции некоторые параметры, установленные в данных стандартах, пересекаются по своим значениям, поэтому целесообразна комплексная проверка разрабатываемого оборудования по всем доступным испытательным методикам, указанным в стандартах.

Описание особенностей оборудования для тестирования К оборудованию для тестирования и его программному обеспечению предъявляется ряд требований, таких как компактность, универсальность, простота восприятия ПО пользователем, возможность сохранять результаты тестов в удобных популярных форматах для последующей обработки. Кроме того, тестовое оборудование должно поддерживать комплекс тестов по указанным в предыдущем разделе стандартам. В таблице приведены дополнительные опции оборудования AMETEK, осуществляющего тестирование по вышеуказанным стандартам [3].

Использование оборудования AMETEK PROGRAMMABLE POWER для тестирования Для проверки оборудования на соответствие стандартам для любого тестируемого образца оборудования (далее — ТО) должна быть выполнена следующая последовательность действий: 1. Подключение ТО к источнику питания. 2. Выбор правильного соответствующего режима работы ТО (AC Mode или DC Mode), однофазный или трехфазный режим. 3. С помощью графического интерфейса должны быть заданы номинальная частота и напряжение. 4. Необходимо включить питание системы тестирования. Данная операция может быть осуществлена позднее или автоматически после запуска тестирования. 5. Далее следует открыть экран Avionics Control Option из меню Applications или нажать соответствующий значок на панели инструментов.

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


измерительная аппаратура

технологии

155

Таблица. Наименование опций для источников питания AMETEK Programmable Power серий iX, Compact iX, MX и Ls/Lx, позволяющих проводить тестирование на соответствие стандартам электропитания ведущих мировых самолетостроительных компаний Наименование стандарта

Режим работы источника питания

С D Euro/14D Chng2 E F С D Euro/14D Chng2 E A B C A B C C C D E D E

× -160 -160 × -160 × × -160 -160 × -160 -B787 -B787 -B787 -B787 -B787 -B787 -AMD -AMD -ABD -ABD -ABD -ABD

× -160 -160 × -160 × × -160 -160 × -160 -B787 -B787 -B787 -B787 -B787 -B787 -AMD -AMD -ABD -ABD -ABD -ABD

× -160 -160 × -160 -160 × -160 -160 × -160 -B787 -B787 -B787 -B787 -B787 -B787 -AMD -AMD -ABD -ABD -ABD -ABD

AC-режим

B

-A350

-A350

-A350

-A350

DC-режим

B

-A350

-A350

-A350

×

AC-режим

RTCA-DO160

DC-режим

AC-режим Стандарт Boeing 787B3-0147 DC-режим Стандарт Airbus AMD-24 Стандарт Airbus ABD0100.1.8 Стандарт Airbus A350/ ABD0100.1.8.1

Опция Опция Опция Опция Ревизия источника источника источника стандарта источника iX series Compact iX MX series Ls/Lx series

AC-режим DC-режим AC-режим DC-режим

-160 -160 -160 -160 -160 ×

×

-B787 -B787 -B787

Рисунок. Графический интерфейс пользователя (GUI) для тестирования на соответствие требованиям стандарта RTCA-DO160

× -AMD × -ABD -ABD ×

Performance Measurements (Parameters, Waveforms, Curr Graph и Curr Spectrum). Любые отклонения от нормы можно увидеть на вкладке наблюдения, расположенной на экране Parameters. Вся информация будет включена в протокол испытаний. Возможности ПО позволят сохранить данные испытаний в файл и распечатать его, а при необходимости запустить тестирование снова.

Заключение 6. Выбор соответствующего варианта теста или, в случае пропуска данного пункта, использование выбранного по умолчанию варианта теста. 7. Выбор правильной категории Power Group Test. 8. Выбор теста из таблицы, отображаемой в нижней части экрана Power Group Test, осуществляется нажатием на строку. Нужная строка будет выделена. 9. Далее нужно перейти на вкладку Test Control (средняя вкладка в верхней части экрана). 10. После проверки последовательности испытаний в таблице на экране управления необходимо нажать кнопку Start для запуска теста. 11. Оператору следует тщательно следить за экраном и ТО. 12. По окончании теста, который может быть прерван в любое время нажатием кнопки Abort, необходимо выбрать вкладку EUT Performance Measurements (правая вкладка в верхней части экрана). 13. После нажатия Meas Update будет произведен цикл измерений. Кнопка находится в верхней центральной части экрана. Это может занять продолжительное время. 14. Для просмотра данных, полученных с ТО, необходимо использовать вкладки, расположенные в верхней части вкладки EUT

новости

Статья знакомит читателей со стандартами, устанавливаемыми регулирующими органами и авиапроизводителями для организаций, выпускающих оборудование для самолетов. Кроме того, в статье описано оборудование, позволяющее осуществить тестирование по указанным стандартам с широким функционалом по формированию выходной отчетной документации. Это позволяет говорить о том, что с помощью одного источника питания со специально установленным программным обеспечением возможно проведение тестирования сразу по нескольким стандартам. Кроме того, благодаря имеющимся встроенным функциям источников питания данное оборудование позволяет автоматизировать процесс с возможностью удаленного контроля. n

Литература 1. www.faa.gov/documentLibrary/media/Advisory_Circular/AC%2021-16G.pdf 2. www.nifc.gov/NIICD/docs/avionics/FSAMD_A24E.pdf 3. www.programmablepower.com/ac-power-source/FCS/downloads/ 4994-971_Avionics_Software_Manual_Rev_H.pdf

ВЧ/СВЧ-элементы

Новый коаксиальный переключатель от Dow-Key Microwave с большим жизненным циклом Компания Dow-Key Microwave дополнила свою линейку высокоэффективных переключателей Reliant Switch новой моделью — R521. Эта линейка разработана для надежного обеспечения заявленных показателей функционирования во время всего жизненного цикла. Новая модель — это двунаправленный коаксиальный SPDT-переключатель, выполненный с внутренними нагрузками с сопротивлением 50 Ом и мощностью 2 Вт. Каждый РЧ-порт устройства в нерабочем положении соединяется с нагрузкой. Вследствие КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

этого данный переключатель является оптимальным для тестирования оборудования локальных сетей WLAN, сетей WiMAX LTE/4G/5G и разделения источников сигналов в многодиапазонных приемопередающих антенных приложениях. Основные характеристики нового переключателя: • диапазон рабочих частот: DC–26,5 ГГц; • максимальный КСВН: 1,15:1 для частот DC–4 ГГц и 1,50:1 для частоты 26,5 ГГц; • вносимые потери: 0,42 дБ для частот до 4 ГГц и 0,80 дБ на частоте 26,5 ГГц;

• повторяемость вносимых потерь: 0,03 дБ во всем частотном диапазоне; • развязка: 85 дБ (4 ГГц), 60 дБ (26,5 ГГц); • максимальное время переключения: 15 мс; • максимальная непрерывная мощность: 150 Вт (3 ГГц); • диапазон рабочих температур: –25…+75 °C; • напряжение питания 12 или 24 В; • жизненный цикл: 10 млн для каждой позиции; • максимальная высота использования: 4572 м; • масса 71 г. www.radiocomp.ru

www.kite.ru


156

технологии

измерительная аппаратура

Типовые решения Keysight

Джим Арментраут (Jim Armentrout)

П

ри переходе к новой или модернизированной тестовой платформе неизбежны определенные трудозатраты и риски. Производителю потребуется освоить или адаптировать программное обеспечение и, возможно, потратить месяцы или даже годы, чтобы оценить соответствие конфигурации системы тестирования стоящим перед ней задачам, впрочем, в итоге случается, что результат оказывается неприемлемым. Составление сценариев тестирования предполагает наличие опыта программирования, а для испытания сложного оборудования необходимы глубокие знания в области метрологии. Чтобы создать правильную комбинацию приборов, отвечающую конкретным потребностям испытаний, следует оценить в первую очередь характеристики контрольно-измерительного оборудования. Затем нужно решить проблему интеграции приборов и программного обеспечения в систему тестирования, чтобы оптимизировать производительность и точность измерений. Возможно, вам еще не раз придется изменять конфигурацию приборов, параметры и алгоритмы измерений, чтобы постепенно разработать методику, позволяющую достичь поставленных целей. При создании решения для тестирования инновационных устройств проверка характеристик приборов и программного обеспечения, объединенных в общую систему, оказывается затруднительной, и инженерам приходится решать проблемы, связанные как с исследуемым устройством, так и с параметрами системы тестирования. Получить требуемую систему тестирования можно, только решив все эти системные проблемы. Сжатые циклы проектирования вынуждают специалистов искать альтернативные варианты, основанные на существующих решениях других производителей. Компания Keysight оптимизировала конфигурации ап-

Производители новых мобильных электронных устройств стремятся сократить расходы и одновременно улучшить их характеристики и расширить функциональные возможности. Но чем сложнее такие устройства, тем больше дополнительных тестов требуется для их проверки, а это значит, что необходимо увеличивать быстродействие новых систем тестирования. Ведь они должны обеспечивать высокую точность, воспроизводимость результатов измерений, расширенные возможности измерений и производительность, чтобы в условиях быстрого развития рынка удовлетворять потребности компаний-изготовителей.

паратного и программного обеспечения для выполнения определенных задач, возникающих в процессе тестирования. Некоторые конфигурации снабжены примерами программ с открытым исходным кодом и предлагаются компанией Keysight как типовые решения.

Что такое типовые решения? Типовые решения Keysight — это сконфигурированные системы тестирования, в составе которых предусмотрено несколько контрольно-измерительных приборов в модульном (PXI, AXIe) или настольном формате с соответствующими программными драйверами, измерительными алгоритмами и примерами программ. Приборы выбирают исходя из оптимального быстродействия и производительности для конкретных при-

ложений, а также используя преимущества модульных приборов, в частности гибкость и масштабируемость. Отметим, что типовые решения применимы как для сегодняшних, так и для будущих задач, которые раньше казались невыполнимыми. В расширенном специализированном типовом решении можно использовать прибор любого вида. Например, модульные быстродействующие генераторы сигналов в формате PXI применимы для производственного тестирования беспроводных устройств, а высокопроизводительные и высококачественные модульные генераторы сигналов произвольной формы в формате AXIe — для научных исследований или имитации сигналов РЛС. Типовые решения охватывают аналоговые, цифровые и ВЧ/СВЧ-приложения, каждое из которых предъявляет уникальный набор требований

Рис. 1. Приложения с особыми требованиями к тестированию, часть которых покрывается типовыми решениями

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


измерительная аппаратура

к контрольно-измерительному оборудованию и порождает проблемы, требующие определенного ответа (рис. 1). Практическое преимущество типовых решений Keysight заключается в том, что каждое из них было разработано и успешно реализовано в реальных условиях. Усовершенствования, сделанные в соответствии с запросами заказчиков, улучшили наиболее важные характеристики для конкретных приложений. Создание типового решения Keysight представляет собой сложную и трудоемкую задачу по разработке системы тестирования, включая выбор приборов и программного обеспечения, конфигурирование и проверку. Гибкость при разработке решений позволяет инженерам добавлять процедуры тестирования, измерительные каналы, измерения и собственные алгоритмы для конкретных приложений. С помощью типовых решений Keysight инженеры могут создавать системы тестирования, наиболее точно соответствующие техническим требованиям. Для этого рекомендуется реализовать полное типовое решение, а затем доработать его в соответствии с конкретными планами тестирования.

технологии

157

Рис. 2. Типовое решение для многоканальных конфигураций LTE/LTE-A

Примеры типовых решений Типовое решение для тестирования беспроводных устройств позволяет инженерам одновременно и быстро проверять сигналы нескольких антенных элементов и анализировать модуляционные и ВЧхарактеристики, чтобы обеспечить точную синхронизацию и высокое качество сигналов при агрегировании несущих и формировании диаграммы направленности систем MIMO. Типовое решение Keysight для тестирования многоканальных конфигураций LTE/LTE-A — это компактная система в формате PXI для генерации и анализа сигналов, предлагающая до 8 синхронизированных фазово‑когерентных каналов. Для создания сложных сигналов LTE-A используется ПО Signal Studio, а для подключения нескольких анализаторов — ПО 89600 VSA/WLA. Это решение можно сконфигурировать в соответствии со специальными потребностями тестирования устройств беспроводной связи. Загрузить описание типового решения по тестированию LTE/LTE-A на русском языке можно по ссылке: www.literature.cdn. keysight.com/litweb/pdf/5991-4684RURU.pdf (рис. 2). При тестировании усилителя мощности/выходного модуля с помощью типового решения, использующего 2‑портовый векторный анализатор цепей, можно измерять характеристики пассивных компонентов выходного модуля, чтобы повысить скорость передачи данных и уменьшить производственные расходы. Ускоренные измерения

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016

Рис. 3. Типовое решение для тестирования ВЧ-усилителя мощности/модуля сопряжения

цифровых предыскажений с/без обратной связи и измерения с отслеживанием огибающей выполняются в течение десятков миллисекунд. Типовое решение Keysight для тестирования и измерения характеристик ВЧ-усилителя мощности/модуля сопряжения позволяет получать характеристики модулей УМ ВЧ для различных мобильных устройств. С его помощью измеряют S‑параметры, мощность, мощность в соседнем канале, нелинейные искажения и параметры демодуляции. В прилагаемых примерах сценариев показано, как оптимизировать производительность тестирования без снижения характеристик (рис. 3).

Типовое решение Keysight для тестирования и измерения характеристик ВЧусилителя мощности/модуля сопряжения на русском языке можно найти по ссылке: www.literature.cdn.keysight.com/litweb/ pdf/5992-0071RURU.pdf. Типовое решение Keysight для многоканального тестирования антенн, объединяющее аппаратные и программные средства, позволяет калибровать узкополосные антенны. Гибкость конфигурации системы тестирования обеспечивается возможностью добавления каналов, применением опций понижающего преобразования частоты в приемных каналах антенны, выбором www.kite.ru


технологии

158

измерительная аппаратура

Рис. 4. Типовое решение для многоканального тестирования антенн

полосы анализа и источников ВЧ-/СВЧсигналов. Основные компоненты этого решения — векторный анализатор сигналов, векторный генератор сигналов, векторный анализатор цепей, цифровой формирователь испытательных сигналов, ускоритель измерений и ПО Signal Studio (рис. 4). Для разработки систем 5G можно использовать типовое решение исследования каналов. Благодаря гибкости аппаратных и программных средств это решение обеспечивает передачу и измерение сигналов миллиметрового диапазона, формирование и анализ широкополосных сигналов и обработку данных в режиме реального времени. В составе системы тестирования имеются широкополосный дигитайзер, аналоговый генератор СВЧ-сигналов, векторный генератор сигналов, генератор сигналов произвольной формы, ПО VSA и ПО Waveform Creator (рис. 5).

Выводы

Рис. 5. Типовое решение для исследования каналов 5G

новости

Типовые решения Keysight разработаны для реализации проблем тестирования в конкретных приложениях. Каждое решение — это практические знания инженеров‑разработчиков и исследователей компаний-изготовителей, объединенные с опытом специалистов компании Keysight по созданию контрольно-измерительного оборудования и программного обеспечения для систем тестирования. В результате различных форм сотрудничества появились передовые системы тестирования, которые позволят инженерам сократить цикл разработки устройств и ускорить их продвижение на рынок. Измерительные системы на основе проверенных комбинаций приборов и программного обеспечения можно быстрее внедрять, адаптировать и модернизировать для удовлетворения текущих и будущих требований к испытаниям, используя предоставленную документацию и примеры программ. n

рынок

Компании congatec и Technagon заключили партнерское соглашение Компания congatec объявила о начале сотрудничества с компанией Technagon в сфере развития торговых технологий. Компания congatec, работающая в области встраиваемых компьютерных модулей, одноплатных компьютеров SBC (single board computer), разработки и производства встраиваемых решений EDM (embedded design and manufacturing), представила сервисную компанию Technagon в качестве своего нового партнера по технологиям автоматических продаж. Компания Technagon специализируется на разработке и внедрении заказных систем для различных отраслей промышленности. Предложения компании включают готовые строительные блоки для производства POS-терминалов, интерактивных вывесок, встраиваемых систем и электромобилей, которые представляют собой законченные решения, позволяющие в разработках

заказчика легко и эффективно решать такие задачи, как аутентификация, прием и учет оплат, а также защита от мошенничества и вандализма. Кроме того, что заказчик экономит значительные финансовые и временные ресурсы на разработку, он получает дополнительные преимущества от использования многофункциональных и проверенных ноу-хау, реализованных в этих строительных блоках и компонентах. Благодаря совместной работе, технологии компании congatec становятся неотъемлемой частью пакета решений компании Technagon, которые варьируются от заказных конфигураций базовых плат, встраиваемых компактных компьютеров и системной интеграции всех компонентов вместе до разработки и производства заказных корпусов радиоэлектронной аппаратуры. www.congatec.com

КОМПОНЕНТЫ И ТЕХНОЛОГИИ • № 4 '2016


Реклама


Реклама


Реклама

Реклама


Компоненты и технологии

C o m p o n e n t s

&

Te c h n o l o g i e s

www.kit-e.ru

№ 4 ’2016 (апрель)

ISSN 2079-6811

№ 4 ’2016

ARM-процессоры «Миландр» под управлением ОС FreeRTOS

апрель

Решения ON Semiconductor

для построения беспроводных систем

Components & Technologies Реклама

Реклама

Одноплатный компьютер Raspberry Pi

Простая реализация USB Type-C


Turn static files into dynamic content formats.

Create a flipbook
Issuu converts static files into: digital portfolios, online yearbooks, online catalogs, digital photo albums and more. Sign up and create your flipbook.