Military Embedded Systems April/May 2023

Page 1

@military_cots www.MilitaryEmbedded.com AprMay 2023 | Volume 19 | Number 3 John McHale USSOCOM embracing MOSA 7 Mil Tech Trends AI and ML add layers to certification 24 Special Report Sustainment: critical to avionics 16 Industry Perspective Driving down mission-critical costs 40 The avionics industry’s growing need for TLM By Janusz Kitel, Aldec P 28 AVIONICS ISSUE WHAT WILL THE AVIONICS OF THE FUTURE LOOK LIKE? P 12

Accelerating Time from Concept to Product

More competitors. More demands. More rapidly emerging threats. Analog Devices has a depth of industry and technical expertise that is unmatched. Together with an unrivaled portfolio of RF and microwave solutions, you can now reduce the prototype phase of design by months and get to market faster than you ever thought possible. Get to market faster at analog.com/ADEF

COLUMNS Editor’s Perspective 7 USSOCOM embracing MOSA
Mil Tech Insider 8 Bringing secure mesh wireless to mobile command posts By
THE LATEST Defense Tech Wire 10 By Dan Taylor Editor’s Choice Products 44 By Military Embedded Systems Staff Connecting with Military Embedded 46 By Military Embedded Systems Staff 4 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com All registered brands and trademarks within Military Embedded Systems magazine are the property of their respective owners. © 2023 OpenSystems Media © 2023 Military Embedded Systems ISSN: Print 1557-3222 ON THE COVER: A USAF pilot assigned to the 3rd Air Expeditionary Wing flies a C-17 Globemaster III assigned to the 15th Wing, Joint Base Pearl-Hickam, Hawaii, over the Northern Mariana Islands. Photo courtesy U.S. Air Force/ Airman 1st Class Julia Lebens. @military_cots https://www.linkedin.com/groups/1864255/ FEATURES SPECIAL REPORT: Avionics upgrades 12 What will the avionics of the future look like? By Flavia Camargos-Pereira, Contributing Editor 16 Sustainment: A critical component of military avionics development By David Slack, Times Microwave Systems 20 SiPs send clear signals for UAVs By Jerome Patoux, Analog Devices, Inc. MIL TECH TRENDS: Certifying COTS hardware and software 24 AI and ML add complexity to military avionics safety certification By Dan Taylor, Technology Editor 28 The avionics industry’s growing need for TLM By Janusz Kitel, Aldec 32 Exploiting direct RF FPGAs for electronic warfare By Rodger Hosking, Mercury 38 Bringing the benefits of GCIA to next-generation ground vehicles By Jacob Sealander, Curtiss-Wright Defense Systems INDUSTRY PERSPECTIVE 40 The art of driving down mission-critical system costs By Ian Ferguson, Lynx Software Technologies Published by: www.militaryembedded.com April/May 2023 Volume 19 | Number 3 TABLE OF CONTENTS WEB RESOURCES Subscribe to the magazine or E-letter Live industry news | Submit new products http://submit.opensystemsmedia.com WHITE PAPERS – Read: https://militaryembedded.com/whitepapers WHITE PAPERS – Submit: http://submit.opensystemsmedia.com 38 24 8 To unsubscribe, email your name, address, and subscription number as it appears on the label to: subscriptions@opensysmedia.com
By John McHale
Dominic Perez

NO ONE POWERS THE ARMY LIKE BEHLMAN

FIRST UNIVERSAL POWER SUPPLY FOR ALL ARMY CMFF APPLICATIONS

Introducing the VPXtra® 400DW-IQI, Behlman’s first power supply with a wide range DC input that is fully compliant for all platforms in the Army CMFF program. This rugged, highly reliable switch mode 3U VPX unit meets a new standard of adaptability, and is backed by unmatched integration support from the Behlman team.

> Developed in alignment with the SOSA™ Technical Standard and VITA 62.0

> Delivers over 400 watts of DC power via two outputs

> 90% typical efficiency

> Features cutting-edge Tier 3 software

> System management integration via VITA 46.11 compatible IPMC

400DW-IQI

:631-435-0410 :sales@behlman.com :www.behlman.com
The Power Solutions Provider

ADVERTISERS

PAGE ADVERTISER/AD TITLE

27AirBorn – Rugged active optical cables

2 Analog Devices – Accelerating time from concept to product

3 Annapolis Micro Systems –

Theonlyfull ecosystem of 3U & 6U 100GbE products aligned with SOSA

5 Behlman Electronics, Inc. –

18

Noonepowers the Army like Behlman

Dawn VME Products – Dawn single slot OpenVPX development backplanes

23 Elma Electronic – Enabling the warfighter with OpenVPX

19 GMS – X9 Spider. The world’s most powerful full-featured wearable computer

36 Interconnect Systems –

Smaller,tougher, custom modules

41 Interface Concept –

Front-end processing boards for edge-applications

48 Mercury Systems, Inc. –

The next big thing in RFSoC is here. Andit’s only 2.5” x 4”

17 Phoenix International –Phalanx II: theultimate NAS

31 PICO Electronics Inc –Sizedoesmatter!

15 Pixus Technologies –

SOSAalignedOpenVPX chassis withadvanced cooling

37 SeaLevel Systems, Inc. –

ExecutiveSpeakout: 3 Ways Tech

Upgrades Enable Innovation & Modernization

34State of the Art, Inc. – No boundaries!

9 Times Microwave Systems –MILTECHand M8M

EVENTS

IEEE Nuclear & Space RadiationEffectsConference (NSREC)

July 24-28, 2023

Kansas City, MO

https://www.nsrec.com/

DSEI 2023

September 12-15, 2023

London, England

https://www.dsei.co.uk/

AUSA 2023

October 9-11, 2023

Washington, DC

https://meetings.ausa.org/Annual/index.cfm

Aerospace Tech Week Americas

November 14-15, 2023

Atlanta, GA

https://www.aerospacetechweek.com/ america

GROUP EDITORIAL DIRECTOR John McHale john.mchale@opensysmedia.com

ASSISTANT MANAGING EDITOR Lisa Daigle lisa.daigle@opensysmedia.com

TECHNOLOGY EDITOR – WASHINGTON BUREAU Dan Taylor dan.taylor@opensysmedia.com

CREATIVE DIRECTOR Stephanie Sweet stephanie.sweet@opensysmedia.com

WEB DEVELOPER Paul Nelson paul.nelson@opensysmedia.com

EMAIL MARKETING SPECIALIST Drew Kaufman drew.kaufman@opensysmedia.com

WEBCAST MANAGER Marvin Augustyn marvin.augustyn@opensysmedia.com

VITA EDITORIAL DIRECTOR Jerry Gipper jerry.gipper@opensysmedia.com

SALES/MARKETING

DIRECTOR OF SALES Tom Varcie tom.varcie@opensysmedia.com (734) 748-9660

DIRECTOR OF MARKETING Eric Henry eric.henry@opensysmedia.com

OPERATIONS & AUDIENCE DEVELOPMENT (541) 760-5361

STRATEGIC ACCOUNT MANAGER Rebecca Barker rebecca.barker@opensysmedia.com (281) 724-8021

STRATEGIC ACCOUNT MANAGER Bill Barron bill.barron@opensysmedia.com (516) 376-9838

STRATEGIC ACCOUNT MANAGER Kathleen Wackowski kathleen.wackowski@opensysmedia.com (978) 888-7367

SOUTHERN CAL REGIONAL SALES MANAGER Len Pettek len.pettek@opensysmedia.com (805) 231-9582

DIRECTOR OF SALES ENABLEMENT Barbara Quinlan barbara.quinlan@opensysmedia.com AND PRODUCT MARKETING (480) 236-8818

INSIDE SALES Amy Russell amy.russell@opensysmedia.com

STRATEGIC ACCOUNT MANAGER Lesley Harmoning lesley.harmoning@opensysmedia.com

EUROPEAN ACCOUNT MANAGER Jill Thibert jill.thibert@opensysmedia.com

TAIWAN SALES ACCOUNT MANAGER Patty Wu patty.wu@opensysmedia.com

CHINA SALES ACCOUNT MANAGER Judy Wang judywang2000@vip.126.com

WWW.OPENSYSMEDIA.COM

PRESIDENT Patrick Hopper patrick.hopper@opensysmedia.com

EXECUTIVE VICE PRESIDENT John McHale john.mchale@opensysmedia.com

EXECUTIVE VICE PRESIDENT AND ECD BRAND DIRECTOR Rich Nass rich.nass@opensysmedia.com

ECD EDITOR-IN-CHIEF Brandon Lewis brandon.lewis@opensysmedia.com

TECHNOLOGY EDITOR Curt Schwaderer curt.schwaderer@opensysmedia.com

ASSOCIATE EDITOR Tiera Oliver tiera.oliver@opensysmedia.com

ASSOCIATE EDITOR Taryn Engmark taryn.engmark@opensysmedia.com

PRODUCTION EDITOR Chad Cox chad.cox@opensysmedia.com

CREATIVE PROJECTS Chris Rassiccia chris.rassiccia@opensysmedia.com

GRAPHIC DESIGNER Kaitlyn Bellerson kaitlyn.bellerson@opensysmedia.com

FINANCIAL ASSISTANT Emily Verhoeks emily.verhoeks@opensysmedia.com

SUBSCRIPTION MANAGER subscriptions@opensysmedia.com

CORPORATE OFFICE 1505 N. Hayden Rd.

Scottsdale, AZ 85257

REPRINTS

Tel: (480) 967-5581

WRIGHT’S MEDIA REPRINT COORDINATOR Kathy Richey clientsuccess@wrightsmedia.com (281) 419-5725

6 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
#105

USSOCOM embracing MOSA

I’m writing this from the Official Show Daily Office at SOF Week 2023 in Tampa, Florida, where I’m editing and reviewing all the copy and videos coming in from our editors and videographers covering the event, which was organized by U.S. Special Operations Command (USSSOCOM) and the Global SOF Foundation. Not surprisingly, much of the talk from USSOCOM acquisition leaders is about embracing Modular Open Systems Approach (MOSA) strategies.

“We are absolutely invested in [MOSA],” Jim Smith, USSOCOM Acquisition Executive at SOF Acquisition, Technology & Logistics told our Show Daily team at SOF Week 2023. “We want to have a best-of-breed capability, we want many industry partners to solve some tough problems. To do that and work within the JADC2 [Joint All-Domain Command and Control] construct we have to pursue open architectures. We found it’s very conducive to not only competition but [also for] having a ‘big tent’ philosophy for our partners.”

Smith cited the Mission Command System as just one example of MOSA’s impact in a pre-show briefing with our Technology Editor Dan Taylor. This system leverages that “big-tent philosophy” Smith mentioned and involves more than 17 different vendors contributing to the capability, he said. Smith attributes the success of this approach to USSOCOM’s adherence to open architecture, which prevents designers and vendors being locked into proprietary systems. Of particular concern is the implementation of JADC2: As a joint command, Smith says, USSOCOM needs to integrate with various service implementations of JADC2, and MOSA can help with that.

USSOCOM also created a new Program Executive Office for SOF Digital Applications in an effort to accelerate the software-acquisition process, Smith noted. “We now have six-plus programs that

are in a continuous development/continuous deployment pipeline for software acquisition,” he said. “We’ve completely changed the culture on how we’re doing that, and what’s made that hum is our absolute adherence to MOSA.”

Smith’s deputy, Bill Innes, deputy director of acquisition for USSOCOM, went even further in a video chat with our Show Daily team. “We need to embrace open systems architectures. When we talk about collaborative autonomy [or] when we talk about air, ground, sea, and space domains all working together, we can’t have stovepiped systems. They need to be open systems. Restrictive intellectual-property rights and those kinds of things, that’s really [going to] slow us down. We need to use all the tools available to us and work with open systems architectures.”

Speaking further on acquisition trends, Innes noted that tools like Other Transaction Authorities (OTAs) and SOFWERX, a partnership between DefenseWerx and SOCOM that works with industry to provide rapid prototyping of new technology, help speed up acquisition. “Not everything is FAR [Federal Acquisition Regulation]based,” he added.

Investing in more commercial technology is also a goal of USSOCOM leaders, as Lisa Sanders, USSOCOM Science & Technology director, shared during a video interview with our Show Daily team.

“I believe that the most disruptive technology is the fact that technology today is about what’s happening at the intersections,” she said. “It is how can I use the kind of processing chips that were developed for cellphones, in combination with the shared information and shared sensing that’s everywhere, putting some analytics on top of that, in order to help me understand what is not normal in an environment, so that I should be watching that and monitoring that, instead of putting in the coolest best single sensor that only looks at one thing. It’s bringing all of those things together, in order to answer the questions that we have that are relevant to Special Operations missions.”

Sanders said that commercial solutions can enable more effective decision-making by not only warfighters on the front line, but also by those at the most senior leadership levels.

“[One area] right now that we don’t have enough investment in is products that will help us to make better decisions, particularly across the range, making better decisions at the tactical-unit level, making decisions all the way through the levels of leadership up to the senior level,” Sanders said. “That’s important for us. Anything that allows us to operate in a contested environment. And there are lots of tools in the commercial world that are used for commercial purposes that can help us to make better decisions.”

One of the most important MOSA initiatives is The Open Group Future Airborne Capability Environment (FACE) Technical Standard, which we cover extensively in our second annual FACE Special Edition, mailed to subscribers with this issue. If you don’t have a copy, be sure to visit www.militaryembedded.com to find the Special Edition.

For more SOF Week coverage, visit www.militaryembedded.com/sofweek.

EDITOR’S PERSPECTIVE
www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 7

Bringing secure mesh wireless to mobile command posts

Military wireless networks in the military can be secured through the proven use of NSA-approved Commercial Solutions for Classified (CSfC) encryption. CSfC is a set of approved architectures using two layers of commercial encryption (as opposed to Type 1 military-only encryption) for access to classified networks. The layers, software and/or hardware, must be developed independently and validated to international Common Criteria standards. Today, it’s possible to rapidly set up a mobile, extendable wireless network qualified to Technology Readiness Level (TRL) 9 [denoting that a technology has been “flight proven” during a successful mission]; using Wi-Fi 6, multihundreds of megabits of throughput can be rapidly deployed in the field.

One advantage of wireless networking –beyond the speed of deployment – is that it can deliver true network resiliency. It supports mesh topologies, which can eliminate the threat of a single point of failure in the network, so the loss of a single node or access point won’t bring down the entire network.

A mesh network, which can exist in many different topologies and come in a variety of formats, can route across the network with direct-hop, single-hop, or multiplehop data distribution to connect any two nodes on the network. For wireless meshing, many in the military think of MANET [mobile ad hoc network] radios. While MANET radios support various meshing topologies, modern implementations of good old 802.11 Wi-Fi do as well. A major advantage of Wi-Fi is its low hardware cost: Even in rugged and outdoor applications Wi-Fi benefits from true commodity pricing. MANET requires each operator to have their own MANET-compatible radio, which is fine for handheld communications. It’s less than ideal, though, for data-based comms that require the user to plug into a laptop or tablet already equipped with Wi-Fi hardware.

Even though commercial Wi-Fi solutions typically feature support for mesh network topologies, not all commercial equipment supports NSA [National Security Agency] requirements for CSfC encryption of data-in-transit and frequently they do not support all military software applications, many of which were designed years before wireless battlefield networks became possible. Commercial Wi-Fi can provide a short cut for setting up a CUI [controlled unclassified information] network, but it’s not going to get you to a secret or higher network.

Secure wireless mesh networks (SecMesh) advances battlefield distribution of data connections, since users can set up a wireless network to connect vehicles, plus a “bubble” to broadcast to end users. SecMesh enables vehicle-to-vehicle communications plus tunnel-in-tunnel CSfC encryption.

Curtiss-Wright deploys CSfC-based Secure Wireless Command Posts (SWCP) with the Army and other groups. Typically, a secure wireless command post system is installed on each vehicle. This setup enables each vehicle to operate independently, but results in duplication of equipment and sub-optimal size, weight, power, and cost (SWaP-C). Also, with each system operating independently, operators and devices can’t roam between systems without being preregistered.

The next step in SecMesh technology evolution will mesh vehicles together, establishing multiple east/west bound network connections, along with multiple backhauls in case a vehicle is lost. Users registered with one wireless system will be able to roam between all of the wireless systems on the connected battlefield. The next step: true vehicle secure network connectivity vehicle-to-vehicle while on the move. This capability has been demonstrated in the field and development continues.

One example of a SecMesh network in the battlefield today: The PacStar Secure Meshing Command Post (SMCP). (Figure 1.) Typically, setting up a mesh network topology can be complicated because many legacy applications have built-in assumptions about the network on which they will operate. These assumptions place the burden on the network designer and network maintainer to hide the true nature of the underlying network. Manual setup could take hours, undermining the military’s goal to reduce network setup in the field to as little as five minutes. Network management software, such as PacStar IQ Core software, can eliminate the need for the system manager to manually set each individual node on the network.

Ideally, the SecMesh approach is modular with users able to select the hardware form factor that is appropriate for the mission, whether for a communications vehicle with an enclosure for tactical networking hardware or a more combatfocused vehicle – land or amphibious –that requires fully sealed electronics made possible by a Sensor Open Systems Architecture (SOSA) Technical Standard aligned design based on VPX hardware.

Dominic Perez is the CTO at Curtiss-Wright Defense Solutions and a Curtiss-Wright Technical Fellow.

Curtiss-Wright Defense Solutions  https://www.curtisswrightds.com

MIL TECH INSIDER
An industry perspective from Curtiss-Wright Defense Solutions
8 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
Figure 1 | PacStar Secure Wireless Command Post Wi-Fi (SWCP) is a small, modular communications system enabling wireless mobility for smartphones, tablets, and laptops connected to classified and unclassified networks in deployed, expeditionary, and tactical environments.
• Hermetically sealed • Flexible • Military airborne • Harsh environment • High vibration • Harsh environments • Military avionics • EW applications M8M ™ MILTECH ® TIMES MICROWAVE SYSTEMS 358 Hall Avenue, Wallingford, CT 06492, USA T 800. 867.2629 www.timesmicrowave.com LEARN MORE YouTube Linkedin FOLLOW US

DEFENSE TECH WIRE

Five E-2D Advanced Hawkeye aircraft approved for sale to Japan

The U.S. State Department approved a possible $1.38 billion foreign military sale of the E-2D Advanced Hawkeye airborne early warning and control (AEW&C) aircraft and related equipment to the government of Japan, the Defense Security Cooperation Agency (DSCA) announced.

Japan plans to buy as many as five E-2D aircraft, 12 T56A-427A engines, six multifunction distribution system joint tactical radio system (MIDS-JTRS) terminals, five APY-9 radars, five integrated navigation-control and display systems, 12 embedded GPS/INS receivers, and six ALQ-217 electronic support measures systems.

According to the statement from the DSCA – an agency of the U.S. Department of Defense (DoD) – the pending sale is aimed at supporting U.S. foreign-policy goals and national-security objectives by improving the security of a major ally that is important in the political stability and economic progress of the Asia-Pacific region.

Autonomous aerial resupply system to be developed for USMC

Leidos won a contract to develop an autonomous uncrewed aircraft system (UAS) for resupplying forward-deployed ground forces for the United States Marine Corps, according to a company statement. The firm-fixed-price, multiple-award contract has an 18-month period of performance, during which Leidos will build a single prototype.

The company will develop, deliver, and demonstrate a medium unmanned logistics system – air (MULS-A) prototype, the statement reads. The prototype will be used for logistics distribution missions at the tactical edge of the battlefield, with the goal of carrying a payload between 300 and 600 pounds to a combat area within a radius of 25 to 100 nautical miles. Leidos partnered with Phenix Solutions to design the SeaOnyx prototype, the company says. Phenix Solutions is a veteran-owned small contractor that develops UAS aircraft for various missions.

F-35 pilot helmet microdisplay completes validation

A microdisplay used in the F-35 Joint Strike Fighter’s helmetmounted display system completed its full performance validation, manufacturer Kopin Corporation announced in a statement. The company produces the Organic Light Emitting Diode (OLED), which is used by the augmentedreality helmet. The helmet provides the pilot with flight, tactical, and sensor information. In May 2022, the company received a $4.8 million order for its Active Matrix Liquid Crystal Displays (AMLCD) for the F-35 pilot helmets.

“While Kopin continues as the sole-source supplier of its high-brightness AMLCDs for the F-35 HMDS under a multiyear procurement agreement that is expected to continue over several more years, it is also engaged in a development program to production qualify the next generation OLED displays for the HMDS,” the company says.

Figure 1 | The E-2D Hawkeye aircraft is shown. U.S. Navy photo. Figure 2 | U.S. Air Force photo by Senior Airman Erica Webster.
NEWS | TRENDS | D O D SPENDS | CONTRACTS
10 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
| TECHNOLOGY UPDATES

U.S. Air Force awards Cubic HW/SW contract to support comms backbone

Cubic Mission and Performance Solutions (CMPS) won a cost-plus-fixed-fee contract from the U.S. Air Force (USAF) Research & Development (R&D) program for Halo-Enabled Resilient Mesh (HERMes) software and a hardware prototype, both of which are intended to boost the technological capabilities of the U.S. Air Force (USAF) high-capacity backbone (HCB). The Halo capability, according to the CMPS announcement, facilitates a highbandwidth, resilient, ad hoc multilink mesh network for the USAF using novel digital beamforming techniques. Under the terms of the Air Force contract, Halo will investigate, design, develop, test, and demonstrate the capabilities of the HERMes system. It also calls for Cubic to expand the HCB communication system’s technical capabilities – including such moves as developing the hardware used for the solution – in order to increase the range of operating frequencies.

F-16 EW suite counters RF threats in U.S. Air Force test Northrop Grumman demonstrated a new electronic warfare (EW) suite for the F-16 aircraft to counter radio frequency threats as part of U.S. Air Force Laboratory Intelligence Validated Emulator (LIVE) testing, the company announced in a statement. During the test of the AN/ALQ-257 Integrated Viper Electronic Warfare Suite (IVEWS), simulated air defense radar pulses were injected directly into IVEWS to evaluate its ability to recognize and counter advanced threats, the statement reads.

The signals used in LIVE testing are intended to offer realistic representations of radio frequency threats, enabling electronic warfare systems to be tested in realistic environments. The IVEWS technology is intended to allow extended frequency coverage, full spatial coverage, and more rapid responses using broadband power amplifiers and adaptive countermeasure modulations to better detect and defeat enemy sensors and weapons, the statement reads.

U.K. defense ministry boosts funding for future fighter jet program

The U.K. Ministry of Defense (MOD) allocated more than £650 million ($821 million) to further the development of the next-generation fighter jet as part of the Global Combat Air Programme (GCAP), according to an MOD statement. This initiative is a trilateral collaboration with Japan and Italy, which aims to have the aircraft in service by 2035 to maintain the U.K. Armed Forces’ advantage over adversaries.

BAE Systems will advance the design and development of the aircraft, which is known as Tempest. The MOD and their GCAP counterparts in Japan and Italy are working on progressing the maturity of digital concepts and new aircraft technologies.

Navy awards Saab UUV target production order

The U.S. Navy’s Naval Undersea Warfare Center awarded Saab the initial production order for the company’s Expendable Mobile Anti-Submarine Warfare Training Target (EMATT), a small (under 25 pounds) sonobuoy-sized device programmed for various antisubmarine warfare training scenarios that can be used with naval surface ships, submarines, and aircraft. Under the terms of the current order – which the company reports is worth $9 million (100 million SEK) – Saab will manufacture 1,200 EMATT units for the U.S. Navy from its facility in Rhode Island. Erik Smith, President and CEO of Saab in the U.S., says that Saab will be working with subcontractors SyQwest, Massachusetts Institute of Technology (MIT), and OASIS (a subsidiary of Thayer Mahan) to produce the MK39 updated version of the EMATT, which carries a nextgeneration electronics architecture and improved navigation and control.

Figure 3 | JADC2 connectivity graphic via Cubic Corp.
www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 11
Figure 4 | Image courtesy Saab.

What will the avionics of the future look like?

Cockpits featuring multifunction avionics, large touch screen displays, advanced communication systems, high performance/low consumption solutions, and artificial intelligence (AI) capabilities will be part of the future daily life of military pilots.

Military aircraft with analog flight decks are few and far between these days as most have been replaced with modern glass cockpits leveraging advanced embedded hardware and software solutions. Future systems will build even further on that digital backbone to combat complex adversarial threats by enabling a better information flow for faster and more effective responses.

Artificial intelligence (AI) technology plays a critical part in these designs by bringing more complex data processing to enable situational awareness to nearreal-time status.

A USAF pilot assigned to the 3rd Air Expeditionary Wing flies a C-17 Globemaster III assigned to the 15th Wing, Joint Base Pearl-Hickam, Hawaii, over the Northern Mariana Islands. Photo courtesy U.S. Air Force/Airman 1st Class Julia Lebens.
SPECIAL REPORT 12 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
Avionics upgrades

Military applications are “unforgiving,” and “no amount of processing will do you any good if the system can’t withstand the rigors of the environment,” says Emil Kheyfets, director of engineering for Aitech (Chatsworth, California). For that reason “at Aitech, we’ve invested significantly in ruggedizing GPGPU [generalpurpose graphics processing units]-based AI systems for the harshest environments to give system engineers the ability to forge new ground in embedded military computing,” he adds. Aitech offers the A179 A178 Thunder, a rugged GPGPU AI supercomputer designed to offer quick processing of critical functions using the NVIDIA AGX Xavier.

Future avionics systems must also enable operations alongside autonomous platforms and manned-unmanned teaming (MUM-T) missions. Future warfare will also require advancements in avionics in terms of size, weight, and power (SWaP), always a balancing act in the realm of unmanned aerial systems (UASs).

Data-hungry video capabilities are impacting avionics requirements both for UASs and piloted aircraft, says Steve Motter, VP of business development at Industrial Electronic Engineers (IEE, Van Nuys, California). “The latency, and the amount of time it takes data to get from the camera sensor to a display or a processing element that can interpret it, becomes really key,” he says.

Open architectures are the future

Future avionics suites are expected to supply more definition, modularity, scalability, and affordability by leveraging open architectures and the reuse of hardware and software components.

Open standards and open architectures also reduce long-term life cycle costs and enable faster deployment of capability to the warfighter. The U.S. Department of Defense (DoD) mandated the use of open architectures in 2019 in a memo calling for the use of a Modular Open Systems Approach (MOSA) in all new programs and upgrades.

For avionics platforms, a MOSA approach that is now required in many platforms is the Future Airborne Capability Environment (FACE) Technical Standard.

“It is very clear that MOSA is the topic of the day when it comes to avionics,” says Mark Littlefield, director, systems products for Elma Electronic (Fremont, California). This is true both in terms of vehicle-control avionics and payload, he adds.

“It really comes down to one key thing: ease of integration,” Littlefield continues. “By using open standards, it makes it so much easier and so much faster, both from a hardware and software standpoint, to integrate your system and not have to build everything from the ground up or port custom designs to new platforms.”

Reusability of avionics software components across multiple platforms is saving time and money.

“This goal of reusability is driving everyone in the industry to adapt designs that previously had been standalone to using common software structures,” says Tad Ihnes, chief technologist for mission systems at Mercury Systems (Andover, Massachusetts). “Ultimately, this will improve customer flexibility and enhance market opportunities.”

Additional advantages include reducing vendor lock and enhancing competition. Fitting capabilities from diverse suppliers also enables designers and engineers to procure best-in-class avionics.

Military customers suffer when they’re locked into a particular vendor on some proprietary architecture, Motter asserts. “Being able to have that open and interchangeable option in a competitive environment is the better approach.”

www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 13
Future avionics suites are expected to supply more de nition, modularity, scalability, and affordability by leveraging open architectures and the reuse of hardware and software components.

Moreover, such open options lead defense companies toward more innovation, which decreases acquisition and overall life cycle costs and shortens the time frame required for procurement and development efforts.

“What we’re finding now is that we’re going from that 18- to 24-month cycle to a first deployment down to less than a year and sometimes down into the sixmonth range,” Littlefield says.

Modernization and life span

Standardization also helps combat obsolescence by enabling incremental technology insertion at the module level.

“There are key functions that are fundamental to flying the aircraft. Those are the base that you build all these other outer functions on. And being able to do that like an ‘app store’ is one of the key objectives that the government wants to try to implement,” Motter says.

MOSA strategies also enable modernization and long-term support for

MILITARY TOUCH SCREEN TECH

Military users are seeking touch screen technologies and large-area displays, which are large high-resolution screens that populate the entire instrument panel of the aircraft.

“Being able to provide that to the operators in some of the worst-case environments – which would be very cold, very hot, gloved hands, high moisture, all of the things that would make the cellphone not work – is really what’s going on in the avionics world,” says Steve Motter, VP of business development at Industrial Electronic Engineers (IEE – Van Nuys, California).

To this end, IEE provides rugged smart displays for military applications that require more functionality than just a display and an ordinary touch screen.

“I think that the program managers at a lot of these platform levels and DoD [Department of Defense] levels understand enough to know that they don’t know what

they will need five years down the road, but having a platform that can accommodate that [uncertainty] is really key,” Motter claims.

At the display level, keeping up with the demand for bandwidth, computing power, and higher-data-rate video and communication without burdening the system with higher power, higher temperatures and lower reliability will be crucial, he says.

At the connector level, the next barrier is accessing appropriate connectors to enable large amounts of information to flow at a high speed in tough or contested environments. Motter terms this situation “difficult,” adding that it is “very challenging to get something that can support six gigabits per second or 10 gigabits per second, as that’s much higher than what we did 10 years ago.”

His company also provides the 6-inch by 6-inch airborne MFD [multifunction

display] as a replacement for legacy cathode ray tube (CRT) obsolescence mitigation or technology insertion on airborne platforms. (Sidebar Figure 1.)

Sidebar Figure 1 | IEE’s 6-inch by 6-inch airborne multifunction display (MFD) is used as a replacement for legacy cathode ray tube (CRT) obsolescence mitigation or technology insertion on airborne platforms.
SPECIAL REPORT Avionics upgrades 14 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
Figure 1 | Mercury’s communication management unit (CMU) is designed to replace multiple communication control heads, reduce integration costs, shorten operator training time, and increase overall pilot efficiency.

in-service systems based on closed architectures. For example, IEE’s bezel keys can interface with legacy avionics such as MIL-STD-1553, the military standard published by the DoD that defines the mechanical, electrical, and functional characteristics of an avionics serial data bus, he says.

Interoperability and innovation

Interoperability enables integration at the component level in open architecture designs.

In its communication management unit (CMU), Mercury’s compute capabilities enable pilots to quickly visualize threats and their mission objectives. “We created a common user interface for all radios on all aircraft, so you no longer have separate control heads,” Ihnes says. “You have one with a screen that shows you your radios, and you don’t have to know what each radio requires.”

The CMU is designed to replace multiple communication-control heads in an attempt to reduce integration costs, shorten operator training time, and increase overall pilot efficiency. (Figure 1.)

Market trends

At the component level, MOSA strategies will make use of higher-performance processors that can simultaneously handle diverse applications while using diverse connectors.

“The demand now is emerging for 100 Gigabit Ethernet switching products, and we’re trying to get that to market,” says Ken Grob, director, embedded technologies for Elma Electronic.

Elma supplies a collection of backplanes that cover a range of slot configurations for Sensor Open Systems Architecture (SOSA) Technical Standard aligned platforms and the CompacFrame development platforms which enable system development for plug-in cards aligned to SOSA 1.0. (Figure 2.)

Interoperability and data-sharing need to continually be improved to prevent the “significant downtime, recertification, etc.,” that can come with integrating new capabilities. Kheyfets says. MES

SOSA Aligned OpenVPX

Chassis With Advanced Cooling

• Designedspecificallyforhigh-wattageapplications

• VariousSOSAalignedprofileoptions

• SpeedstoPCIeGen4and100GbE

• ModularMILRuggeddesignforversatility

• SlotSaverSOSAalignedchassismanager mezzanine

www.pixustechnologies.com

www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 15
Figure 2 | Elma’s CompacFrame development platforms enable system development for plug-in cards aligned to SOSA [Sensor Open Systems Architecture].

Sustainment: A critical component of military avionics development

The defense industry relies on durable, dependable RF [radio frequency] systems for mission-critical military avionics applications, such as electronic warfare (EW), to enable continuous real-time data transmission with high accuracy. These systems must be extremely reliable and offer consistently high performance for many years in demanding, confined, and variable environments within the airframe. This creates unique requirements for the custom RF interconnect solutions that support them.

Development is only the first step in the operational life of a military avionics system. It can take as much as five years or more to build, test, and field a new electronic warfare (EW) system. After the initial development and deployment, the attention shifts to sustainment for the next 20, 30, and even 40 years. In fact, most life cycle costs in a U.S. military program are spent on sustainment and operations – an average of 70 cents per dollar – according to a report from the U.S. Department of Defense (DoD).

Cable assemblies should be a highly reliable part of the airframe infrastructure. They are among the first things to be installed into the bare airframe before the hydraulics, actuators, equipment racks, walls, flooring, seats, etc.; replacing a failed cable assembly is extremely difficult. Therefore, once installed, they should be rugged enough to last the life of the platform. The ability to design products on this basis results from decades of accumulated experience in supporting many airborne programs.

It is also essential to consider sustainment early on in a program’s development to maximize the availability of components over their life cycles. This concept, known as designing for sustainment, includes developing requirements built into the design of a material solution to deliver long-term operational availability. For example, the B-52 aircraft developed in the 1960s, ALR-69 radar warning receivers from the 1970s, and ALQ-131 jamming pods created in the 1980s all still provide significant operational utility due to smart sustainment strategies. However, budget pressures, competing priorities, time, and other factors present constant sustainment issues. (Figure 1.)

An F-35A Lightning II assigned to the 419th Fighter Wing, Hill Air Force Base, Utah takes off from the Air Dominance Center in Savannah, Georgia. U.S. Air Force photo by Senior Airman Erica Webster.
SPECIAL REPORT
upgrades 16 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
Avionics

Additionally, there is a continual need to balance new system development with refurbishing or manufacturing legacy components, creating a more significant sustainment challenge. Finally, part obsolescence issues present further challenges as many companies that initially manufactured those parts eventually stop making them.

Looking at the long term

To alleviate these challenges and ensure military avionics systems are designed for sustainment, suppliers must work with experienced, agile component manufacturers with a proven track record and long heritage of developing these solutions. This will help ensure continued operational excellence and mitigate the risk of supply-chain disruptions.

First, a strong industry history is essential because military avionics systems are incredibly complex to build and must last for decades. Therefore, working with a reliable partner with products that will

stand the test of time is crucial. With this type of life-and-death application, it is not the time to be a test subject or proving ground for a newcomer to the space. Manufacturers must also understand the essential elements: Partners with a long heritage in avionics will thoroughly understand the importance of things like lightweight cables. They must also understand the ramifications of such an option, knowing the appropriate trade-offs to create high-performance, reliable products that last while keeping weight reduction top of mind. (Figure 2.)

AS

The McHale Report, by mil-embedded.com

Editorial Director

John McHale, covers technology and procurement trends in the defense electronics community.

www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 17
Figure 1 | The AN/ALQ-131 electronic countermeasures (ECM) pod (shown here in a Northrop Grumman photo) – a modular, canister-contained, airborne ECM jamming pod that targets anti-aircraft radars – has been used by the U.S. and other countries’ militaries since the 1980s.
9100D / ISO 9001:2015 CERTIFIED PHALANX II: THE ULTIMATE NAS
PHX_OSP_3.375_4.875.indd 1 1/22/18 11:36 AM
Supports AES-256 and FIPS140-2 encryption
Utilizing two removable SSDs, the Phalanx II is a rugged Small Form Factor (SSF) Network Attached Storage (NAS) file server designed for manned and unmanned airborne, undersea and ground mobile applications.
www.phenxint.com
THE ARCHIVED MCHALE REPORTS AVAILABLE AT: https://militaryembedded.com/newsletters/the-mchale-report

More than the standard solution

Suppliers often provide a standard product that may appear to meet key requirements but may not be the optimal choice, particularly when designing for sustainment. A long-term partner will have an engineering team that understands the challenges of the program’s unique application and be able to develop a custom solution to address those specific needs. If the partner is truly experienced, they have solved similar challenges many times and can readily address the situation at hand.

Moreover, service and support are paramount. A partner designing its products with sustainment in mind offers solutions such as replaceable parts and connectors, ensuring convenient maintenance and repair. In the unfortunate event that something is damaged or fails, the design ideally has been designed with field-repairable options, so the entire airframe is not required to be disassembled for repair. Lastly,

Dawn Single Slot OpenVPX Development Backplanes

and very importantly is the supply chain: If a replacement or spares are needed, the program should be able to obtain them quickly and easily.

The bottom line: Military avionics systems must be reliable for decades, and the components that go into those products must stand the test of time. Therefore, working with an experienced partner with a proven track record, a deep understanding of system design, and the ability to provide exemplary service and support for sustainment is crucial to ensure continued operational excellence and mitigate the risk of supply-chain disruptions.

The Dawn family of one-slot OpenVPX test station and development backplanes gives engineers the ability to perform compatibility tests and easily reconfigure payload module profiles and slot interoperability to meet custom requirements.

wired using MERITEC VPX Plus cables.

Available 3U and 6U in VITA 65, VITA 67.1, VITA 67.2, VITA 67.3, Nano-RF, SOSA-aligned and Power Supply slot profiles. Custom configurations available.

(510) 657-4444 dawnvme.com

Sustainment is a crucial factor for avionics systems. Components must be maintained or re-engineered throughout the life of the system. Choosing a partner capable of manufacturing and maintaining trusted, durable, and reliable components will extend the program’s life. MES

David Slack is director of engineering at Times Microwave Systems. He has extensive experience in the development of high-performance coaxial cable interconnects and related technologies. He received a bachelor of science degree in electrical engineering from Fairfield University.

Times Microwave Systems

https://timesmicrowave.com/

Rugged, Reliable and Ready. You need it right. You want Dawn.
Highly useful as stand alone or in combination with other backplanes, with or without RTM connectors. Multiple units can be topology
SPECIAL REPORT Avionics upgrades 18 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
Figure 2 | An example of a lightweight cable: The MaxGain 300 cable assemblies from Times Microwave Systems are designed to provide high-performance and ultra-low-loss microwave coaxial cables. These assemblies use a unique spiral outer conductor technology, making them lightweight and reliable for highfrequency avionics interconnect.

SiPs send clear signals for UAVs

Unmanned aerial vehicles (UAVs) are rapidly swarming modern battlespaces, growing in number as they shrink in size. Theyare meeting strict guidelines for reduced size, weight, and power (SWaP) even as their capabilities increase, packing payloads that include offensive ordnance, transmitters, receivers, cameras, and sensors. Miniaturization contributes not only to added functionality but to longer flight times and ranges on a battery. Advancing UAV technology for the battlefield presents the ongoing challenge of developing electronic payloads that are smaller and with wider bandwidths to support multiple sensors without delays. What once was a complete electronic warfare (EW) system carried by a large ground vehicle must now fit within a flight-ready vehicle that, in some cases, can be launched by hand. Fortunately, by fitting multifunction circuitry within a single multipin package, in the form of system-on-chip (SoC) or system-in-package (SiP) devices, versatile payloads can be designed to make smaller, more capable military UAVs possible.

Payloads for a medium-sized unmanned aerial vehicle (UAV) or drone combine numerous technologies in support of armaments, communications, guidance and navigation, reconnaissance, and surveillance, among other functions. Electronic systems within those payloads often merge various electro-optical subsystems, such as radar, light detection

and ranging (Lidar), and infrared (IR) thermal sensing subsystems, within these payloads. Antennas and possibly sensors are usually mounted in the front or nose of a UAV while the remainder of the electronic systems are spread throughout the body of the aircraft.

What was once designed and assembled on multiple printed circuit boards (PCBs) mounted within multiple equipment enclosures to fit within the payloads of full-sized aircraft must now provide the same functionality, often with improved performance, at a fraction of the size in efforts to meet military goals for reduced size, weight, and

An MQ-9 Reaper sits on the flightline at an undisclosedlocation. The MQ-9 is an unmanned aircraft capable of remote-controlled or autonomous flight. (U.S. Air Force photo by Tech. Sgt. Jim Bentley.)
SPECIAL REPORT
20 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
Avionics upgrades

power (SWaP). Modular design has been one of the evolutionary steps in reducing the SWaP of UAV electronic payloads, by partitioning functionality into compact, low-power modules that can then be interconnected within a UAV’s payload to provide the functions and performance needed for that particular drone design. However, as tactical drones continue to shrink in size, the modular approach cannot keep pace with the diminishing size and weight requirements of, for example, hand-launched drones (Figure 1). Rather than continuing to design UAV payloads as multiple modules, a single enclosure must be developed capable of providing all the functionality and performance required.

Higher circuit integration contributes to reducing UAV payload SWaP but components and modules in close proximity to radiating components, such as oscillators, can pose electromagnetic interference (EMI) and electromagnetic compatibility (EMC) challenges in circuit and system layouts. Computer modeling

and simulation can provide invaluable insights into circuit and layout designs prior to prototyping and EMI/EMC testing. Multifunction devices such as system-on-chip (SoC) or system-in-package (SiP) designed for high-density layouts can integrate shielding within packages for minimal EMI/EMC problems.

Small aircraft, large performance

Even as military drones pack more features into smaller aircraft, with growing numbers of sensors, they are also expected to reach new performance levels. Achieving smaller UAVs with greater functionality requires the signal-processing capabilities usually found in much larger aircraft, and processing capability embedded in FPGAs and ASICs is needed to manage data from a wide range of sensors, including IR thermal sensors, radar altimeters, and visible-light cameras. The resolution and accuracy of modern IR thermal sensors is such that they can help land a UAV in a docking station without human direction. As part of obstacle detection subsystems, Lidar sensors help military UAVs avoid powerlines and other flight impediments.

Reliable communications – by means of air-to-air, air-to-ground, and satellite communications – are needed not only to transfer sensor data from a UAV to its groundcontrol station, but also to provide remote control. A UAV’s onboard communications receivers and transmitters must enable guidance and navigation, such as by reception of signals from GPS satellites.

The amount of lag time permissible in a UAV’s communications links depends upon the function being accessed. Short lag times can be tolerated in regular sharing of data from the many onboard sensors, such as the visible-light cameras performing routine surveillance. For control of an on-board armament system, such as a shortrange missile or projectile or even a laser-based weapons system, instantaneous synchronization of several systems is required with high-resolution imaging supporting precise targeting. Such high-speed communications capabilities at high data bit rates imply wide channel bandwidths. Since spectrum tends to be occupied at lower RF

www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 21
Figure 1 | U.S. Army Pfc. Austin Hurt (1st Battalion, 26th Infantry Regiment, 2nd Brigade Combat Team, 101st Airborne Division [Air Assault]), throws an RQ-11B Raven unmanned aerial vehicle into the sky of a forward operating site in southeastern Europe. These soldiers are using the Ravens to spot targets, support call-for-fire missions, and in many other reconnaissance tasks when conditions are not favorable for manned missions. (Photo credit: U.S. Army Pfc. Matthew Wantroba.)

and microwave frequencies, UAV payload designers are increasingly looking to higher frequencies for available wide-bandwidth channels to carry the immense amounts of data collected by a tactical drone’s sensors.

The large numbers of sensors, the use of uncharted wireless frequency bandwidths, the rapid analog-to-digital signal processing required, and the overall large amounts of on-board, in-flight computing power needed for autonomous and remote control of a tactical UAV all point to large electronic payloads with multiple modular subsystems providing performance reliable enough to withstand the environmental operating guidelines for military and aerospace applications. The control systems for tactical UAVs and other autonomous military electronic systems must be flexible and scalable since, depending upon the mission, the size of a UAV can range from as small as a hawk to as large as a helicopter capable of transporting troops and armaments.

Developing UAV electronic payloads in step with reduced SWaP goals calls for circuit and device densification and miniaturization unlike anything that has been possible even with multiple ICs. Higher device density is needed, by fabricating complete subsystems that can fit within a surface-mount-technology (SMT) package. In contrast to ICs, which typically provide one or two components such as amplifiers and switches plus impedance-matching circuitry within a single drop-in package, a transceiver or mixed-signal front-end SoC or SiP can fit in a single package. By adopting a SiP-based strategy, a UAV payload designer can essentially combine all the subsystems needed one package at a time, to create a multiple-function electronic payload that can fit within the limited space of a tactical drone complying with reduced SWaP requirements.

An SiP is not the same as an SoC, although they may represent the same potential savings in board space for a UAV circuit designer. A SiP houses all electronic devices, which may include multiple ICs, microcontrollers, and discrete devices, within a single multipin package. An SoC fabricates the multiple functions on the same chip or monolithic circuit. The advantage of SiPs over SoCs is the opportunity to mix semiconductor processes (e.g., silicon radio-frequency ICs [RFICs] and gallium arsenide [GaAs] amplifiers) and discrete components (e.g., filters, ferrites, and inductors) in a single envelope. An SoC can provide a great deal of functionality with high yield while an SiP excels at providing optimum performance while minimizing application sensitivity at the next higher assembly.

What does an SiP do?

What types of subsystem functions can be provided by SiPs? At present, some SiPs are designed, manufactured, and tested according to the electrical, environmental, and mechanical requirements of military and aerospace applications. They provide the communications bandwidth for essential electronic-warfare (EW) systems – from 6 GHz to 18 GHz – as well as the extended-frequency bandwidth, within the millimeter-wave frequency range, to channel large amounts of data from the many onboard sensors as quickly as possible to in-air, at-sea, and ground-based end users whose lives may literally depend on the data.

One path to reduced SWaP is by replacing legacy defense subsystems with solid-state modules and devices, such as SoCs and SiPs. Miniaturization is also possible for electromechanical systems in UAVs, such as the gyroscopes and accelerometers needed for guidance and control when GPS signals may be blocked or jammed. Size can be reduced by applying microelectromechanical system (MEMS) strategies.

As an example of the evolution taking place in monolithic device technology, the ADTR1107 from Analog Devices is a packaged IC, a front-end subsystem with frequency range of 6 to 18 GHz. (Figure 2.) It is designed to raise the levels of signals from a UAV’s EW transmitter to its antennas and to boost signals from the antennas to the EW

receiver with minimal noise. It replaces what would have been ICs for a power amplifier (PA), low-noise amplifier (LNA), and an antenna switch between the two amplifiers. This single device saves space on a circuit board by enclosing the three components and their interconnections and power-supply connections in a 24-terminal land-grid-array (LGA) package measuring 5 mm by 5 mm.

In support of the phased-array antennas typically used for tactical drones, the model ADAR1000 places a four-channel beamformer into an 88-terminal LGA package that is only 7 mm by 7 mm and specified for -40 °C to +85 °C (Figure 3). Fabricated with a silicon-germanium (SiGe) BiCMOS [bipolar CMOS] semiconductor process, it operates from 8 GHz to 16 GHz with a 360-degree phase adjustment range and 2.6-degree phase resolution. It features single-pin transmit/ receive control, which also aids in synchronizing multiple devices, and enough memory to store 121 beam positions. The device is power efficient, with all on-chip registers controlled by a simple four-wire serial peripheral interface (SPI).

High levels of integration result in appreciable power savings as size and weight are being minimized. In addition, with the advanced power control possible in an SoC or SiP, the high power efficiency translates into extended range per battery charge for a UAV.

UAVs have been part of the battlefield for as long ago as the Vietnam War; during that conflict they were used for

SPECIAL REPORT Avionics upgrades 22 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
Figure 2 | The model ADTR1107 is a 6 GHz to 18 GHz EW receiver front-end IC in a package measuring 5 by 5 mm.

reconnaissance. They are replacing living troops in high-threat environments even as the latest wave of UAVs is being referred to as “uninhabited combat air vehicles” (UCAVs) by several of the major defense contractors. While drones typi cally have a flight time of under one hour on internal battery power, evolving UAV technology is seeking longer-endurance UAVs that can make use of solar power. Tactical drones are also making more use of artificial intelligence (AI) for coordi nated efforts with manned aircraft. The Boeing MQ-28 Ghost Bat, initially part of the Loyal Wingman project, is an example of a highly “intelligent” military UAV. Under development by Boeing Australia for the Royal Australian Air Force (RAAF), it is being equipped with sufficient AI and tactical capabilities to perform autono mous missions and to fly alongside and support manned aircraft in battle.

As part of the ongoing evolution of battlefield drones, a great deal of technology-sharing occurs between military and commercial UAV supplies, since commercial UAV markets are pro jected to grow rapidly once government agencies such as the Federal Aviation Administration (FAA) have set accept able guidelines for their commercial and industrial use. Demand for applications in markets such as warehouse inventory control and monitoring are expected to be strong for decades. Providing ade quate electronic payloads in small enclo sure sizes will require highly integrated device solutions that can fit a system on an SoC or within a single package, such as in a SiP.

Jerome Patoux is a director for the Aerospace and Defense Business Unit, with 18 years of experience in the semiconductor industry. He is currently with Analog Devices, Inc. (ADI), based in Wilmington, Massachusetts. Jerome holds a master’s degree in electrical engineering and RF communications from EFREI-ESIGETEL Engineering University in Paris, France, and a Master of International Program Management from the University of Quebec in Ottawa-Gatineau, Canada and from ISMANS, Superior Institute for Materials and Advanced Mechanics in Le Mans, France. Jerome started his career as a field application engineer with a specialty in power-management products and solutions, transitioning to various product marketing and product-line management roles. The author can be reached at jerome.patoux@analog.com.

MES
Analog Devices, Inc. • https://www.analog.com/
www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 23
Figure 2 | Model ADAR1000 is a fourchannel, 8 GHz to 16-GHz SiGe BiCMOS beamformer in a 7 × 7 mm LGA package.

AI and ML add complexity to military avionics safety certi cation

Certifying avionics software has been, is, and always will be a daunting, time-consuming task for avionics hardware and softwaredesigners. Thanks to advances in aircraft technology, modernized software, a shift in the programming languages used, and the emergence of artificial intelligence and machine learning (AI/ML) technology, certification continues to get more complex. Meanwhile, technical standards such as the Future Airborne Capability Environment (FACE) are aiding not onlysoftware certification but also overall avionics software development.

Many in the military industry, not just in the avionics arena, are figuring out and learning how to best make use of the emergence of artificial intelligence and machine learning (AI/ML) technology. For avionics software suppliers, it’s a matter of embracing its advantages and learning how it will impact safety certification of avionics software

“Within the aerospace industry there is work being done on developing AI systems to analyze massive amounts of flight data to deliver summaries that will ultimately help pilots to make informed decisions,” says Roberto Valla, aerospace and defense head of sales (Europe, Middle East, and Africa) for Wind River (Alameda, California). “The industry is also looking to use AI to improve decision-making in aircraft collisionavoidance systems.”

However, certifying AI systems to current avionics safety standards is very difficult, which raises the question of whether an AI system could be controlled by a

safety-certified “traditional” software and hardware system, or if human pilots would still be required to confirm the AI’s analysis, he continues.

The constantly changing nature of software presents new hurdles, notes Ian Ferguson, vice president of marketing for Lynx Software Technologies (San Jose, California). For one thing, as systems increasingly become interconnected, all facets of a system must be updated to improve their resistance to cyberattacks. Moreover, the increased

Two 190th ARW [Air Refueling Wing] members fly a KC-135 during an exercise. Photo by Staff Sgt. Cole Harris.
MIL
TECH TRENDS
24 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
Certifying COTS hardware and software

use of AI/ML in some systems and the deployment of new features also require careful attention during the certification process: It’s crucial to make sure that new code deployment methods are safe and that the new code itself doesn’t affect the certified system, he says.

His company’s MOSA.ic is a modular software framework for building and integrating complex multicore safety- or security-critical systems using independent application modules. Its architecture is intended to enable developers to shorten development cycles when creating, certifying, and deploying manned, autonomous, and increasingly connected systems. (Figure 1.)

One problem is proving “determinism” for AI/ML systems, which is required for compliance with DO-178C (ED12C in Europe), says Vance Hilderman, chief technical officer at AFuzion (Los Angeles, California). Determinism in the AI realm can be defined as algorithms or environments in which the outcome can be determined based on a specific state, or specifically those AI environments that ignore uncertainty.

Currently, active AI/ML is not allowed on commercial aircraft if logic decisions change in real time. AI/ML is limited to use in on-ground preflight tuning and mission planning; Hilderman estimates that true active AI/ML within onboard avionics for commercial aircraft is still six or seven years away.

While there is potential value for AI/ML in avionics systems, due to the concern about how these systems make decisions, it will likely be necessary for the systems to enable visibility into the algorithms and traceability of all decisions made to arrive at a solution, says Gary Gilliland, technical marketing manager at DDC-I (Phoenix, Arizona).

For safety certification applications

DDC-I offers Deos, a time-, space-, and resource-partitioned real-time operating system (RTOS) that is designed for certifiable, safety-critical applications. It is used in multiple avionics functions, providing resource and scheduling

mechanisms to help developers control interference patterns in shared resources. (Figure 2.)

Uncrewed platforms bring new concerns

When discussing AI in aviation circles, the conversation often includes talk of the proliferation of uncrewed platforms, which have their own unique challenges compared to crewed platforms for DO-178C certification to DAL-A – Design Assurance Level A, the highest safety-critical level within the DO-178C [Digital Object 178C] standard. The standard defines various DALs ranging from A to E, with Level A being the most stringent and Level E the least.

DAL-A is applied to software systems in which a failure would result in a catastrophic event, causing multiple fatalities or loss of the aircraft. Needless to say, pilots and civilians are concerned with the failure of any drone in commercial airspace and military domains.

Figure 1 | Lynx’s MOSA.ic is deployed in key components of the F-35 Lightning II avionics platform, including the integrated core processor developed by L3Harris that acts as the brains of the F-35. (U.S. Navy photo by Mass Communication Specialist 3rd Class Maci Sternod.)
www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 25
Figure 2 | Deos is DDC-I’s time-, space-, and resource-partitioned RTOS designed for certifiable, safety-critical applications. (Illustration courtesy DDC-I.)

As uncrewed, autonomous aircraft grow in use, ensuring their safe and secure operation in different environments – for instance in dense urban areas – safety certification of the avionics software is critical, DDC-I’s Gilliland says. Regulations must adapt to cover all aspects of the aircraft life cycle, from development to deployment and operations, he adds.

This aspect includes getting safety certification under DO-178C and security certification under DO-356A. Additional elements that must be considered involve the complexities of managing air traffic for uncrewed aircraft and the need for operators to handle large fleets of aircraft. (Figure 3.) “Cybersecurity is becoming more of a concern, since many avionics systems, including uncrewed systems, need connections to the outside world.”

Fully autonomous aircraft will be seen in military applications soon, and urban air mobility will follow, though it is further out, Ferguson says. AI/ML will likely be the only way to deploy such technology at scale, and certification processes will need to adapt to handle these use cases; however, authorities will require more evidence before approving these platforms, he says. The lessons learned from the deployment – and hopefully the successful use of AI/ML – in the automotive segment will help set expectations for when these platforms will be available for uncrewed platforms and what they’ll be capable of doing.

Uncrewed platforms carry several additional caveats when compared to crewed aircraft. For one, with manned aircraft, pilots are considered part of the certification solution and are expected to handle unexpected situations, Gilliland explains. Additionally, uncrewed systems are certified as a special class of aircraft, which is a problem because technology in the uncrewed industry is advancing quickly, he adds.

“Although no type of certification of an aircraft system is easy, with uncrewed systems the requirements and limitations are evolving rapidly and every system has to be renewed every few years to make sure they are still airworthy,” Gilliland says.

The growing interest in uncrewed aircraft, changes in the types of software used, the emergence of new programming languages, and the use of multicore processors are all factors that must be considered when meeting this mission-critical certification. The military avionics industry is working to address these various factors.

Learning languages

Many of these challenges are new, but the languages being certified have been around for decades.

“Most avionics software engineers today can say that their parents learned to code in ‘C’ language,” AFUzion’s Hilderman says. “It’s rare to see a commercial aircraft 50 years old, yet most code flying today is via the 50-year-old C language. Today’s developers are finally comfortable using object-oriented languages such as C++.” (Figure 4.)

When programming languages shift, what happens to certification of avionics software? As developers move from the traditional C language to object-oriented languages like C++, they must still comply with the DO-332 guideline, which isn’t well understood. Additionally, there is a lack of approved safety coding standards for languages like C# and Python, not to mention for emerging machine learning and artificial intelligence applications, Hilderman says.

Figure 3 | Wind River’s VxWorks 653 is used in the Airbus A330 Multi-Role Tanker Transport (MRTT) aircraft. (Photo courtesy Airbus.)
MIL TECH TRENDS Certifying COTS hardware and software 26 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
Figure 4 | AFuzion’s DO-178C & DO-254 template/checklist process frameworks were used as a basis to evaluate multiple systems for the forthcoming Bell V-280 Valor Future Long Range Attack Aircraft (Photo courtesy Bell Flight.)

AFuzion offers a collection of aviation development and certification templates, which consist of numerous plans, standards, and checklists.

FACE Technical Standard

Avionics software code development and certification has been aided in recent years through the emergence of The Open Group’s Future Airborne Capability Environment (FACE) Technical Standard, which is primarily focused on promoting code portability and reducing costs. It aims to make capabilities more affordable and speed up the delivery of new capabilities, but it can also support the safety-certification process for military avionics systems.

The FACE standard helps by providing standardization that makes the safety certification process easier, Valla says. “By providing a common framework and standardized interfaces for software components, the FACE standard can help simplify the safety-certification process by reducing the amount of custom integration work required for each new avionics system,” he says.

Valla’s company offers Wind River Studio, a cloud-native platform that enables automated validation and verification using digital-twin technology.

Standards like FACE have momentum behind them within industry and the DoD as aviation programs often cite FACE conformance in their requirements and the list of military platforms using FACE certified conformant solutions continues to grow.

“Aligning to standards is an essential first step,” Ferguson says. “It is indeed exciting to see the increased momentum of companies rallying around FACE to help fuel the cadence of innovation. It is critical to providing a path to reduce vendor lock-in, [for example].

FACE is the best approach to avionics software and system standardization, greatly enhancing interoperability, portability, and reusability, Hilderman says. When fully deployed, Hilderman says he estimates that FACE could reduce

long-term development costs by 40% to 50% and certification costs by 20% to as much as 80%.

However, FACE is not backward-compatible with legacy avionics or software, which makes conversion costly and complicated. In the short term, some developers may find it easier to stick with their old methods.

FACE is an evolving standard and Ferguson says that “from a safety-certification perspective, much more needs to be done.” For example, standards currently lack descriptions of expected behavior and side effects, don’t account for necessary system information, and don’t cover software components in the operating system itself. Ferguson says he believes that future standards should focus on elements like hypervisors and unikernels to address these issues. MES

•The best of both worlds: The space-saving, distance, and weight of fiber The ruggedness, dirt-tolerance, and ease of copper

• Design your electronics to allow swappable option of either a copper cable or an active optical cable

•Ideal for tough military, commercial air, and industrial applications

airborn.com
Rugged Active Optical Cables
www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 27

MIL TECH TRENDS

The avionics

industry’s growing need for TLM

Avionics systems increasingly leverage FPGAs [field-programmable gate arrays] and SoC [system-on-chip] FPGAs with high-speed interfaces such as PCIe and Ethernet to deliver greater performance and reliable connectivity for military and civil aviation. However, if the underlying FPGA design needs to demonstrate development assurance based on DO-254/ED-80 (documents providing guidance for the development of airborne electronic hardware), verification becomes very challenging. In these cases, transaction-level modeling (TLM) may bethe answer.

The ubiquity and standardization of PCIe, Ethernet, and other serial high-speed interfaces – plus their availability within FPGAs [field-programmable gate arrays] and SoC [system-on-chip] and FPGAs as embedded hard IP – made them very popular in military avionics and aerospace, and for their use in supporting safetycritical functionality. In addition, FPGA vendors provided some great development tools for device configuration and integration.

All are of considerable benefit to design engineers. However, the increasing use of multiple high-speed serial interfaces in safety-critical applications comes at a price. For certification purposes it must be proved that the devices function as intended and with high reliability. Unfortunately, that is difficult to do for three reasons:

› Physical (in-hardware) test of the FPGA with the high-speed interfaces in the target circuit board can produce nondeterministic responses.

› There is a lack of FPGA input controllability and output visibility.

› The avionics industry struggles to adopt the appropriate verification techniques and methodologies as quickly as the commercial sector, for example, which can lead to significant project delays and costs.

Board-level testing

Simulation is an important activity in the verification process. However, the Design Assurance Guidance for Airborne Electronics Hardware (RTCA DO-254/ ED-80) states that simulation performs only an analysis, since simulation uses models and the simulated environment is always ideal.

28 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
Certifying COTS hardware and software

This fact regarding “ideal environment” becomes obvious when something like an FPGA with an embedded PCIe block (Figure 1) is considered. Internally, the FPGA fabric (where the functions designed into the programmable logic reside), communicates with the PCIe block via an AXI bus.

In many situations, simplified BFMs [bus functional models] can be used for simulation purposes. Alternatively, the entire PCIe block is skipped and only the AXI interface is available during the simulation.

RTCA DO-254/ED-80 (section 6.3.1) guidance states that real hardware must be tested in its intended operational environment. The standard test approach is to conduct board-level testing in the laboratory with the use of specialized equipment such as test-vector generators, logic analyzers and oscilloscopes.

For today’s level of integration and complexity, board-level testing does not allow all FPGA-level requirements to be verified, a situation caused in part by limited access to I/O pins. This is also due to the physical characteristics of the high-speed interfaces: characteristics such as differential signaling, encoded information, and strict impedance matching.

For these reasons, RTCA DO-254/ED-80 guidance allows for augmentation of board-level testing with results obtained from tests on hardware items or components in isolation.

Hardware test equipment

Again, specialized test equipment is needed to ensure the DUT [device under test] is tested with the target frequencies (clocks). All test vectors must be applied at speed to the DUT and its responses must be captured and saved for further analysis or comparison against expected results.

As for where those expected results might come from, it makes sense for these to be the results obtained through simulation, which can be used to verify almost all of the DUT’s functional requirements.

In cases where test vectors are making changes to the I/O pins relatively slowly, and the FPGA design is controlled by a single clock, the analysis of the device response at the bit level is quite simple. However, when the FPGA design includes multiple asynchronous clock domains, supports several high-speed serial interfaces, and most likely contains an embedded processor core, the hardware response due to variable delays in real hardware (along with clock frequency and phase deviations) can produce nondeterministic responses.

The analysis of such nondeterministic results is very complicated. For one thing, it is very difficult to differentiate device behavior that is still within spec from truly unexpected behavior. Secondly, it is impossible to automate the process of comparing verification results against expected results. (Figure 2.)

In most cases, the nondeterministic device responses were delayed or reordered and can be considered to be within spec. Accordingly, too much time can be spent proving and documenting valid discrepancies.

Transaction-level modeling

A solution to the problem is to verify at a higher level of abstraction using TLM [transaction-level modeling], a very popular standardized methodology in the commercial ASIC [applications-specific integrated circuit] industry. Essentially, if an aspect of the design is to send a packet of data that should arrive intact and within a specified timeframe, then that is pretty much all that matters.

A transaction is a single conceptual transfer of high-level data or a control instruction, and is defined by a begin time, an end time, and attributes (relevant information

Figure 1 | Shown: A PCIe embedded block within an FPGA.
www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 29
Figure 2 | The thick blue lines among the traces indicate differences between in-hardware test results and those from the expected results.

associated with the transaction). Figures 3a and 3b show, respectively, the analysis of delayed and reordered transactions for a PCIe interface, using TLM.

At the transaction level – and whether PCIe, Ethernet or even lower-speed serial interfaces are being considered – if multiple buses and asynchronous clocks are used, the implementation details can be hidden for verification purposes.

However, let’s not forget that safety-critical projects must be tested against invalid data and under out-of-range scenarios. In many cases, designers are unable to predict the design response. Accordingly, behavior is investigated during the verification phase to determine if it is acceptable or not. Again, TLM makes the analysis much easier.

Implementing TLM

Using TLM, the test bench works with messages but the design is still verified with bit-level signals. In the simulation world, the use of BFMs (mentioned earlier) for modeling interfaces is very popular, but they are not synthesizable and cannot be

reused in the real hardware. We need a new element called a transactor that is synthesizable. A transactor connects transaction-level interfaces to pin-level interfaces and translates the high-level message into bit-level (pin) wiggles.

Another important aspect of TLM is the use of an untimed test bench, also known as a transactional test bench (Figure 4). It focuses on functionality (messages) rather than on implementation (signals), and the test scenarios are implemented by sending request messages and waiting for the responses.

A great advantage here is that a transactional test bench can consist of subprograms written in any HDL [hardware description language] or even a programming language like C. (Figure 5.)

A transactional test bench is much easier to maintain and analyze, which makes it valuable from a DO-254 perspective. It also simplifies the verification of multiple high-speed serial interfaces (as well as low-speed ones), making the overall verification more robust.

User-defined transactions

It must be noted that with TLM the whole design can be verified using transactions. However, while BFMs are available for standard interfaces like SPI, I2C, ARINC 429, and PCIe, the DUT’s other pins must still be verified. To do this they should be organized into GPIO [generalpurpose input/output] interfaces supporting user-defined messages.

User-defined transactions will also appear for verification of the device containing embedded blocks, as presented in Figure 1. In such cases, to reuse the simulation test bench in hardware testing, the AXI BFM and transactor PCIe must support the same messages. [Figure 6.]

TLM in the industry

For complex designs that can exhibit nondeterministic behavior, TLM overcomes the limitations of bit-level verification, and is a best-practice methodology from the commercial ASIC industry. At the same time, by focusing more on the

Figure 3a | Shown: an analysis of delayed transactions for a PCIe interface. Figure 3b | Shown: an analysis of reordered transactions for a PCIe interface.
MIL TECH TRENDS Certifying COTS hardware and software 30 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
Figure 4 | The transactor concept is shown: The incoming message is translated into correct signaling for the communications protocol.

functionality than the implementation, the verification process is clearer, more robust, and easier to maintain. What’s not to like?

All of these approaches are in use within the avionics industry. Aldec’s solution for bit-level verification (which, as mentioned, is fine for less complex designs with single clocks) is called the DO-254/ED-80 CTS [compliance tool set]. Launched in 2008, the CTS features at-speed testing in the target device; reuses the simulation test bench for hardware testing; and integrates with third-party RTL simulator, synthesis, and place-and-route tools.

Most recently, the CTS has been (and continues to be) used by a Europe-based avionics company for transaction-based verification. This approach saves the company a great deal of time as, before switching to TLM, lots of time was spent looking at discrepancies between RTL simulations and in-hardware results – all because of the nondeterministic behavior of the device.1 MES

1 Case study/white paper: Industry’s First use of TLM for the At-Speed Verification of a PCIe-Based Avionics Design Requiring DO-254 Compliance.

Janusz Kitel is DO-254 program manager at Aldec, with responsibility for verification solutions for aerospace and other industries in which safety-critical systems are employed. Janusz joined Aldec in 2006 as a member of the company’s software quality assurance team. Since 2013, his job has been focused on thedevelopment of Aldec’s aerospace solutions has focused on aviation regulations, requirements engineering, and design and verification methods for safety-critical applications. Janusz has an MSc in electronics and telecommunication, obtained from Silesian University of Technology in Gliwice, Poland.

Aldec • www.aldec.com
Figure 5 | Shown: A comparison between a timed test bench (on the left) and a transactional one.
143 Sparks Ave. Pelham, N.Y. 10803 info@picoelectronics.com www.picoelectronics.com 800-431-1064 Electronics, Inc. • Audio Transformers • Pulse Transformers • DC-DC Converters • Transformers • MultiPlex Data Bus Transformers • Power & EMI Inductors Size does matter! SURFACE MOUNT ANDPLUGIN TRANSFORMERS AND INDUCTORS MILITARY/CRITICAL APPLICATIONS QPL UNITS STANDARD VISIT OUR EXCITING NEW WEBSITE with SEARCH WIZARD www.picoelectronics.com Certi ed to AS9100D ISO 9001:2015 .18" ht. A58_MilEmbSys_2_125x10.qxp_Layout 1 2/27/23 www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 31
Figure 6 | Shown: Reusing messages (transactions) from simulation for the in-hardware testing of a design containing embedded blocks.

MIL TECH TRENDS

Exploiting direct RF FPGAs for electronic warfare

As technologies evolve, government defense organizations steadily evolve electronic warfare (EW) solutions to counteract and then surpass capabilities of their adversaries by leapfrogging each other in an ongoing mission imperative to maintain dominance. Essential functions of EW systems are acquiring RF [radio frequency] signals of interest and then performing the required signal-processing tasks to deliver an effective response. This fosters new technologies and architectures that boost performance levels in both operations.

Several emerging FPGA [field-programmable gate array] architectures combine advanced RF [radio frequency] data converters and the latest processing engines within a single package. Using advanced silicon processes and packaging technologies, offerings include both monolithic designs and multi-chip modules. These new, highly integrated devices represent transformative technology for electronic warfare (EW) applications, yielding significant performance

advantages over the traditional collection of discrete components that are typical of previous architectures.

Direct RF technology

One of the most challenging requirements of modern EW systems is to capture and generate high-frequency, wideband RF signals using high-speed data converters. These are typically connected to the antenna with analog frequency translation stages to convert between RF antenna signal frequencies and the lower IF [intermediate frequency] frequencies that data converters can digitize. These RF tuner stages require mixers, amplifiers, filters, oscillators, and numerous discrete analog components, all carefully packaged and shielded to maintain signal integrity, increasing cost, size, power, and complexity in any EW system.

32 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
Certifying COTS hardware and software

The most straightforward approach to eliminate these cumbersome frequency translation stages is to boost the sampling rate and the maximum input signal frequency of monolithic data converters so they can handle RF signals directly with no translation. Performance levels of these so-called direct RF devices have advanced steadily, driven by the obvious benefits for commercial, industrial, and defense markets.

The vast size of 5G commercial wireless markets provides special incentives, because the large number of local, massive-MIMO [multiple input/ multiple output] phased-array antennas each typically needs 64 transmit/receive elements to steer receive and transmit signal beam patterns. Antenna directionality is achieved by precisely shifting the relative phase of signals to each element, so each element requires its own signal-processing channel.

In such systems, eliminating the frequency translation stage from each channel not only saves SWaP [size, weight, and power] and cost, but also simplifies channel synchronization by removing analog RF components subject to component tolerances, aging, temperature drift, reliability, and maintenance issues. To address these problems, discrete monolithic direct RF ADCs and DACs [analog-to-digital converters/ digital-to-analog converters] capable of directly digitizing RF signals at 1 GHz and above have appeared during the last decade.

In 2017, Xilinx introduced the acclaimed RFSoC [radio frequency aystem-onchip) with eight 5 Gs/sec ADCs, eight 9.8 Gs/sec DACs, Zynq UltraScale+ FPGA fabric, multicore Arm processors, and dual 100 GbE interfaces, all within a single monolithic device, fully qualifying as a direct RF FPGA. The RFSoC data converters support RF signal frequencies up to 6 GHz and offer channel synchronous operation for phased arrays. Initially targeting the 5G wireless infrastructure market, the RFSoC was immediately embraced for defense applications including radar, countermeasures, EW, and communications.

Now, direct RF data converters offering sampling rates as high as 64 Gs/sec can directly digitize RF signal frequencies up to 38 GHz. Available as packaged BGA [ballgrid-array] devices or in chiplet form, these monolithic devices are extremely compatible with the new generation of FPGA processing architectures discussed next.

AMD Xilinx Versal ACAP FPGAs

AMD’s Versal ACAP [adaptive compute acceleration platform] devices based on its 7 nm silicon process consists of a series of six SoC architectures, each with specific blends of different processing engines and powerful peripherals, shown in Figure 1.

The scalar engines include the dual core Arm Cortex-A72 application processor and the dual-core Arm Cortex-R5 real-time processor. Unlike most scalar processors that implement single instruction, single data structures, these Arm processors provide single instruction, multiple data (SIMD) operations. This boosts performance for many algorithms by processing more data for each sequentially executed instruction. Scalar processors target general applications, and the software is extremely portable across a wide range of platforms.

The adaptable engines utilize programmable logic FPGA fabric plus various types of memory, including block RAM, UltraRAM, and accelerator RAM. Configurable logic in FPGAs provides an ideal platform for real-time state machines, control logic, complex timing, Ethernet packet processing, and synchronization, all essential functions for many EW systems. These kinds of operations are often impossible for scalar processors, even those running a real-time operating system. High level design entry tools help development tasks for signal processing tasks, but less so for real-time logic and control functions.

Versal offers two types of intelligent engines: The DSP engines are specialized, highly efficient real-time signal-processing blocks that include fixed- and floating-point multipliers, accumulators, arithmetic units, data multiplexers, and barrel shifters for both scalar and vector data types. With over 14,000 DSP engines in the largest Versal devices, highly parallelized processing architectures can process real-time data

www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 33
Figure 1 | AMD Xilinx Versal ACAP FPGA includes a blend of adaptable FPGA, DSP, and AI [artificial intelligence] engines; direct RF converters; multicore Arm processors; network-on-chip; multirate Ethernet I/O; and system interfaces. Diagram courtesy Xilinx.

streams from high-rate direct RF data converters. As a result, DSPs deliver the lowest latency of all processing classes. Because each new generation of FPGAs adds new types of fabric resources and enhanced DSP engines, configuration code for FPGAs tends to be quite family-specific, even for devices from the same vendor.

The other intelligent engines are AI [artificial intelligence] engines, each consisting of a 2D array of AI tiles, which come in two versions. The general AI engines are balanced to support both machine learning (ML) applications and advanced signal processing for beamforming, radar, FFTs, filters, video enhancement and image processing. The AI/ML engines are optimized for ML tasks including image and speech recognition, medical diagnosis, statistical arbitrage, and predictive analytics, and they also offer extended support for ML data types. For machine learning applications, they are eight times more efficient in the silicon area than DSP engines, reducing power by about 40%.

When engineers need resistors for critical missions in a no-replace environment like Mars, they choose State of the Art. We are aboard three Mars orbiters: Odyssey, MRO, and Maven. We have been aboard all five NASA rovers that have or are exploring the surface of Mars: Sojourner, Spirit, Opportunity, Curiosity, and Perseverance. We are also aboard the InSight Lander that studied the interior of Mars Working toward a manned mission to Mars, NASA chose State of the Art resistors. Whose resistors will you choose for next mission?

Mission Critical? Choose State of the Art resistors.

No Boundaries! State

Made

Figure 2a/b/c | Three AMD Versal ACAP direct RF solutions: 2a is the RFS1140RF system-in-package with single AI core and four-channel/64 Gs/sec ADC/DAC; 2b is the SCFE6931 SOSA aligned 6U OpenVPX card with two AI cores and optical I/O; 2c is the 5560 SOSA aligned 3U VPX card with Versal HBM FPGA and direct RF mezzanine.

Onboard, flexible high-bandwidth memory (HBM) enables data transfer bandwidths up to 820 GB/sec, representing an 8-time increase in bandwidth compared to traditional DDR5. The Versal ACAP AI RF series, available soon, offers on-board direct RF ADCs and DACs, following the highly successful theme introduced by RFSoC.

To interconnect all of these numerous resources, ACAP includes an extremely wideband, configurable network-onchip that offers a uniform interface and protocol to simplify system integration.

This heterogeneous mix of ACAP resources gives designers the freedom to assign compute power to the processing engine most suitable to the task at hand, and the ability to adaptively reassign

Figure 2a Figure 2b Figure 2c
of the Art, Inc. RESISTIVE PRODUCTS
in the USA.
MIL TECH TRENDS Certifying COTS hardware and software 34 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com

resources as required. This flexibility of ACAP delivers as much as ten times the performance compared to dedicated processor types alone. Versal development tools target high-level design entry from frameworks, models, C-language, and RTL coding. Users can create a custom development environment to suit their project needs and programming preferences. Other Versal hardware/software platforms will evolve to help speed EW development tasks and support high complexity applications with extreme performance requirements.

AMD Xilinx ACAP direct RF FPGA products

Although the Versal ACAP AI IF series with the integrated direct RF data converters is not yet available, several product offerings combining the Versal ACAP with direct RF data converters have already been released. (Figure 2.)

Intel Stratix 10 AX and Agilex 9

Direct RF FPGAs

Intel offers two families of Direct RF FPGAs, the Stratix 10 AX and the new

Agilex 9 shown in Figure 3. These multichip modules take advantage of Intel’s chiplet fabrication capabilities to attach various combinations of chiplets to the main FPGA chip using EMIB and 2.5D packaging processes. The Intel Direct RF devices use the Jariet Electra-MA 64 Gsps 10-bit chiplet data converters for all three of the devices shown below. A fourth device listed in Figure 4 uses chiplets with 4 Gsps 14-bit ADCs and 12 Gsps 14-bit DACs.

Figure 4 shows resources in four different Intel direct RF devices. Note that the AGRW027 and AGRM027 devices use the same FPGA chip, illustrating the advantage of using different types and combinations of chiplets for data converters and other peripherals to efficiently create new components.

Intel direct RF products

The industry’s first open architecture board using an Intel direct RF FPGA is the Mercury DRF3182 released in January 2023. (Figure 5.) The 3U OpenVPX card features the Stratix10 AX device. It enables

direct RF digitization of four transceiver channels across a 2 GHz to 18 GHz frequency band to support numerous EW applications. Eight PCIe Gen3 x4 data plane ports deliver 64 GB/sec of data across the backplane to other cards.

As soon as the new Intel Agilex 9 devices become available, board vendors will be eager to incorporate them in open architecture embedded computing boards to speed adoption in deployed systems.

Direct RF FPGAs: the bottom line for EW

By eliminating the analog RF frequency translation stage of EW designs, direct

Figure 3a Figure 3b
Intel Direct RF FPGA FamilyStratix10 AX Agilex 9 Agilex 9 Agilex 9 FPGA silicon geometry 14nm 7nm 7nm 7nm Part No. 1SA28 AGRW014 AGRW027 AGRM027 Logic Elements (kLE) 2753 1437 2693 2693 18x19 Multipliers 11520 9020 17056 17056 # of ADC/DAC 8/8 4/4 8/8 20 / 16 Sample Rate ADC/DAC (GSPS) 64 / 64 64 / 64 64 / 64 4/12 # of Bits Resolution 10/10 10/10 10/10 14 / 14 Embedded Memory (Mb) 244 190 287 287 XCVRs 56G PAM-4, 28G NRZ56G PAM-4, 32G NRZ56G PAM-4, 32G NRZ56G PAM-4,32G NRZ Quad core ARM processor Yes Yes Yes Yes PCIe Gen 3 Gen 4 Gen 4 Gen 4 Package (mm) 50 x 50 45 x 32 52.5 x 42.5 56 x 45
Figure 3a/b | Intel direct RF FPGA parts include Stratix10 AX devices using 14 nm silicon and Agilex 9 devices using 7 nm silicon. All use EMIB connections between the FPGa fabric and direct RF ADC/DAC chiplets. Figure 4 | A table shows Intel direct RF FPGA resource comparisons.
www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 35
Figure 5 | The DRF3182 3U VPX direct RF Stratix10 FPGA has four 51.2 Gs/sec, 10-bit ADCs/DACs.

RF not only reduces SWaP and cost, but it also boosts performance by reducing latency, minimizing analog phase and amplitude uncertainties, and simplifying channel synchronization. Direct RF data converters contain dedicated digital frequency translators (DDCs and DUCs) that can instantaneously tune across a very wide span of frequency to implement complex sweeping and hopping patterns, a critical advantage for many advanced countermeasure algorithms.

Traditional scanning receivers that sequentially tune across a span can easily miss such transients outside of the current scan window. Direct RF enables EW systems to “stare” across a wide frequency span to detect any transient spectral activity that might be of interest. Once a signal is detected, the direct RF wideband data converter stream can also be delivered to a DDC to zoom in on a narrow band of interest for signal exploitation.

Because EW systems must often track multiple targets simultaneously, they can take advantage of this flexible wideband/narrowband capability to operate multiple narrowband DDCs in parallel, each tuned to specific target frequencies located anywhere across the entire frequency span, and beamformed to specific target directions.

Following a similar strategy, a single direct RF front end can be shared by multiple, different EW applications by forwarding digital streams of specific bands of interest to specialized subsystems across fast network links.

One challenge imposed by direct RF data conversion is the extremely high data rate between the data converter and the signal processing resources. In the earlier

discussion of product solutions, the best strategy is tightly coupling these sections using silicon or chiplet bonding within a single device to stream data across wide, local high-speed parallel buses. This also significantly reduces latency compared to slower JESD [standard for serial interfaces] serial links, now in widespread use for such connections.

The powerful heterogeneous processing resources of the latest classes of FPGAs enable a flexible choice of processing engines best suited to the wide range of required tasks including decoding, demodulation, decryption, signal classification, image processing, sensor fusion, target recognition, trajectory calculations, fire control, countermeasures, attack plan development, and many more. These processor task assignments are adaptable during a mission to optimize performance.

Flexible chiplet packaging affords much shorter development cycles of new FPGAs equipped with specific peripherals tailored to the required sensors needed for specific applications and platforms. With so many clear advantages and with devices and deployable products available now, emerging direct RF FPGA technologies will continue to revolutionize EW architectures. MES

Rodger Hosking is vice president, Mercury Systems

Mixed-Signal.

Rodger has more than 30 years in the electronics industry and is one of the co-founders of Pentek; he has authored hundreds ofarticles about software radio and digital signal processing. Prior to Pentek, he served as engineering manager at Wavetek/Rockland, and heholds patents in frequency synthesis and spectrum-analysis techniques. He holds a BS degree in physics from Allegheny College in Pennsylvania and BSEE and MSEE degrees from Columbia University in New York. Mercury

https://www.mrcy.com/
MIL TECH TRENDS Certifying COTS hardware and software 36 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com

3 Ways Tech Upgrades Enable Innovation & Modernization

Technology innovation is often equated with new products. However, innovation is equally descriptive of capitalizing on existing platforms to achieve improved results. This is particularly accurate when applied to upgrading legacy systems across military and aerospace communications. Three prevalent reasons for migrations are: adapting to evolving systems, transitioning to COTS products, and a hyperfocus on reliability coupled with long-term availability.

Adapting to Evolving Systems

Over the past 15 years, aerospace defense communications networks have been heavily updated, and all new communications systems are intended to be fully integrated – for hardware and data –across multiple military branches. This has direct implications for the system that connects commercial radar with military radar for air surveillance, identification of objects, and security in support of North American homeland defense. The interoperable system requires configurable synchronous serial hardware and robust software.

In a specific use case, the system integrates the 5402e PCI Express synchronous serial interface from Sealevel Systems, Inc. The 5402e is highly configurable, providing four ports individually for RS-232, RS-422, RS-485, RS-530, RS-530A, or V.35. In RS-232 mode, all common modem control signals are implemented for compatibility. Sealevel’s SeaMAC synchronous serial driver provides software support. Other software protocols and operation modes are also supported.

Transitioning to COTS

Alongside the adoption of more open architectures, there is a widespread move to the integration of COTS (commercial off-the-shelf) products. Aimed at reducing the challenges associated with full custom designs, COTS products are intended to equip military systems faster and easier, at a reduced cost.

Recently, a leading military contractor wanted to reduce the number of physical connections required for their aircraft diagnostic testing and calibration system. Originally, they used a combination of Ethernet, serial, and digital I/O interfaces between their test computer and aircraft transport rack (ATR). The contractor wanted to leverage as many off-the-shelf products as possible. The interface solution needed to mount in an existing rack, further reducing the commercially available options. Sealevel proposed and navigated a solution that reduces the connections to one USB cable and one Ethernet cable by implementing standard SeaI/O data acquisition devices.

Reliability & Long-Term Availability

For many avionics applications, reliability is the primary driver of technology selection to meet the demands of mission-critical performance. Coupled with rigorous certification requirements, defense leaders often gravitate to solutions with long-term availability for guaranteed support of integrated systems and to avoid costly re-certification. Finally, as it is increasingly desirable – and regulated – to incorporate American-made solutions, military contractors and integrators are looking for Made in the USA engineering, manufacturing, and support.

Following the launch of the HazPAC® 10 Rugged Panel PC, one of the world’s largest defense contractors contacted Sealevel for an HMI upgrade, utilized in the tracking and landing of naval aircraft aboard carriers. The HMIs from their existing provider had regular screen failures, limited RS-422 signal connectivity, and didn’t meet the environmental specifications. With limited overseas support, the contractor was very motivated to select an American-based firm. The HazPAC 10 has a wide -40°C to 60°C operating temperature range and is certified by ATEX, IECEx, and for CID2. With American engineering, manufacturing, and support, the HazPAC 10 is the ideal solution for this aircraft carrier.

Along with the push for highly reliable, interoperable solutions – COTS preferred in many cases – defense industry leaders have an eye on cybersecurity and compliance as standards continually evolve. As a result, military contractors are challenged to find partners that can successfully traverse the migration and retrofitting processes in place of a complete system overhaul. If this is achieved, the timeline is not only significantly expedited but integrators can also capitalize on proven processes, extending the life of technology assets.

Sealevel Systems, Inc. | www.sealevel.com ADVERTORIAL EXECUTIVE SPEAKOUT
The appearance of U.S. Department of Defense (DoD) visual information does not imply or constitute DoD endorsement.

MIL TECH TRENDS

Bringing the bene ts of GCIA to next-generation ground vehicles

The partnership between Curtiss-Wright and BAE Systems to develop a standardized Modular Open System Approach (MOSA) open architecture for next-generation ground vehicles is an example of how the benefits of MOSA-aligned open standards can be leveraged to deliver new capabilities to next-generation ground vehicles, such asthe U.S. Army’s Optionally Manned Fighting Vehicle (OMFV).

Technological change is driving a new generation of ground combat vehicles, as seen by the U.S. Army’s Optionally Manned Fighting Vehicle (OMFV) competition. Through the OMFV competition, the Army is seeking a new infantry fighting vehicle that is agile, lethal, and survivable while also ready to deploy today’s and tomorrow’s most advanced technology and support tomorrow’s emerging capabilities.

Modular Open Systems Approach (MOSA)-aligned solutions are critical for enabling technology on ground vehicles to be rapidly refreshed and new capabilities quickly fielded to meet emerging threats on the battlefield. A vehicle designed with this level of flexibility can consistently meet and exceed the Army’s specific agility, lethality, and survivability goals. MOSA builds in the room to grow to match the Army’s needs and can be easily upgraded and adapted to remain dominant in the fight.

Key Army initiatives – such as Project Convergence, which led to the creation of standards like Ground Combat Systems (GCS) Common Infrastructure Architecture (GCIA) and CMOSS Mounted Form Factor (CMFF) – have been part of the Curtiss-Wright mission. It has also been directly involved in the development of many of the foundational standards referenced in GCIA, such as OpenVPX, SOSA, VICTORY [Vehicular Integration for C4ISR/EW Interoperability], MORA [Modular Open RF Architecture], and CMOSS [C5ISR Modular Open Suite of Standards]. GCIA is helping to move the next-generation combat vehicle away from traditional stovepiped and purpose-built electronics and toward a standardized core infrastructure that can host all of the capabilities needed for OMFV.

The GCIA standard

The GCIA standard defines the requirements for a network computing infrastructure that can host all of the vehicle’s required functions and enables different sensors and effectors to be easily integrated and plugged into that core infrastructure over time. The use of a GCIA infrastructure eases integration on a specific platform and facilitates integration and data movement between platforms. GCIA delivers numerous benefits, including portability of technology and rapid incorporation of new technology.

The brief for the U.S. Army’s Optionally Manned Fighting Vehicle (OMFV) calls for a modular, open systems approach that combines state-of-the-art technologies to equip troops for the ever-changing, interconnected, multidomain, joint battlefield. The OMFV will replace M2 Bradley Fighting Vehicle, versions of which date back to the early 1980s. Curtiss-Wright is partnering with BAE Systems on its OMFV solution, which is aimed at bringing agility, lethality, and mobility solutions to the U.S. Army. Image courtesy BAE Systems/Curtiss-Wright.
38 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
Certifying COTS hardware and software

It builds on existing standards such as the Sensor Open Systems Architecture (SOSA) Technical Standard and the OpenVPX hardware standard that defines the rugged modules called out in SOSA. By specifying rugged processing, networking, I/O, and graphics modules aligned to the SOSA profiles and built using the OpenVPX modules called out in SOSA, ground vehicles are increasingly moving to a MOSA-based GCIA compliant architecture.

The MOSA system elements included in the GCIA architecture include line-replaceable modules (LRM), line-replaceable units (LRU), chassis, fully integrated systems, and the scheme of connectivity. In addition, the U.S. Army’s Standardized A-Kit/Vehicle Envelope (SAVE) – a new physical size, weight, and power (SWaP) and connector standard for fielding new C5ISR [Command, Control, Computers, Communications, Cyber, Intelligence, Surveillance and Reconnaissance] capabilities – can be leveraged to speed and simplify the installation of CMFF systems in Army vehicles by regularizing the size, shape, and physical interfaces (RF, data, power, etc.) for mounting those types of systems. SAVE joins the CMOSS standards – such as VICTORY, MORA, and OpenVPX – already called out by the GCIA framework, but it covers only the purely physical elements, instead of defining how data flows between the systems integrated on a vehicle.

Delivering key MOSA assets

A GCIA implementation delivers on key MOSA benefits, including the ability to quickly react to evolving threats, easily upgrade systems, and reduce vendor lock to lower costs and drive interoperability. In addition, the standard addresses failure resiliency, because the GCIA system infrastructure uses a distributed network with no single point of failure.

The ability to move applications and functions using distributed network resources to any spot in the vehicle will provide an unprecedented new level of fault resiliency compared to today’s vehicle architectures, in which there is very little built-in redundancy. A distributed network architecture enables multiple different functions to be hosted in the same chassis or located in different places on a vehicle, since they are all connected over the GCIA internal vehicle network (IVN) with data transported using well-defined standards such as VICTORY. (Figure 1.)

GCIA defines how the network moves and shares data to support all the resources needed to host the different functions on a ground combat vehicle. For example, GCIA defines a version of Ethernet that supports TSN [time-sensitive network] standards for safety-critical data movement on the standard core network. The GCIA network can accommodate very low latency paths while providing determinism. Diverse capabilities – such as fire control, targeting, 360° situational awareness, and vehicle-protection systems – can all be hosted by the GCIA-defined infrastructure, which minimizes or eliminates the need for specialized hardware.

Today, new ground-vehicle capabilities are typically hosted in their own discrete boxes, all of which host their own internal computers and require a space claim on an already-crowded vehicle. Each box will usually have its own software, which also needs to be able to communicate with the next layer of software on the vehicle network. All of this has to be qualified, which takes a long time to do and adds program risk. GCIA helps to mitigate risk. While it looks to the SOSA Technical Standard for system profile definitions and VITA hardware standards, GCIA limits their approved use to only a handful of SOSA profiles and a narrow subset of hardware standards.

These constraints force designers to build from a much-smaller set of building blocks right from the get-go, which will greatly reduce the time required to field new technology and tech refreshes in ground-combat vehicles. GCIA focuses on the requirements for enabling a core compute networked infrastructure that will enable a system designer to simply “plug in” all desired capabilities. For example, it directs the use of specific processing and software, and defines the types of displays (dumb

versus smart) and security (multilevel security boxes versus single-level boxes).

System designers can use the specified building blocks to develop their software on the very same hardware platform that all other GCIA compliant suppliers are using. That means solutions developed for GCIA-based applications will be transportable between different hardware elements, not just within the vehicle itself, but also from platform to platform and from compute instance to compute instance.

GCIA represents a paradigm shift on how to establish a next-generation vehicle architecture by defining an overall integrated solution. The standard will play a major role in bringing the many advantages of MOSA to next-generation ground vehicles such as OMFV. Such open architecture/distributed networkbased combat vehicles are expected to meet and exceed the Army’s requirements now and for years to come.

MES

Jacob Sealander is Chief Architect, C5ISR Systems, for Curtiss-Wright Defense Solutions. He has worked at Curtiss-Wright since 1996 in various design, engineering, and management positions including engineering manager of embedded systems, mechanical engineering manager, and manager of product line engineering. Sealander can be reached at jsealander@curtisswright.com.

Curtiss-Wright Defense Systems

https://www.curtisswrightds.com/

www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 39
Figure 1 | An eight-slot, user integrationready powered enclosure uses natural convection cooling and can reduce the work of CMOSS/SOSA Technical Standard 1.0 system development.

The art of driving down mission-critical system costs

Lynx Software Technologies and RTI have successfully worked together for over two decades, with a wide range of industry design wins and use cases. As we reflect back over those years, we have found three challenges our joint customers face that Lynx and RTI address, which when combined deliver immense benefits to the creators of connected mission-critical systems.

The challenges that customers face and companies must address are:

› Customers need to drive down system costs to be competitive.

› Customers need to open up their systems to a wide spectrum of solutions, and break apart vendor lock-in.

› Customers need to develop strategies that will rapidly enable reuse of both hardware and software solutions – the days of single-use software and hardware platforms are gone.

Driving down system costs

Across industries, we are increasingly seeing systems being constructed out of multiple connected subsystems. These subsystems are either inside a specific

vehicle like an armored vehicle or a plane, or, are a set of discrete connected, distributed platforms that are securely sharing information in real time, keeping with the vision of the Connected Battlefield.

The cost and weight advantages offered by Ethernet makes this a tantalizing path for internal connectivity. The challenge this presents is the lack of real-time determinism. This has led to the deployment of separate physical networks and bus protocols, such as ARINC 429 and MIL-STD-1553. Our customer base continues to demand a path to create real-time, distributed systems on cost-effective Ethernet-based networks to improve size, weight and power (SWaP), and most importantly, reduce complexity. Realizing this goal requires:

› Discrete subsystems that are physically separate or in virtual machines isolated via hardware-assisted virtualization. This distributed environment simplifies the path to both safety and security certification and raises the immunity of these systems to cybersecurity attack.

› A standards-based technology that provides determinism across network interfaces.

Removing vendor lock-in

At the same time, global armed services are looking at strategies and techniques to open up systems and reduce vendor lock. These programs are often deployed

INDUSTRY
40 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
PERSPECTIVE

for decades, so these architectures need to not only be resistant to changes in supply chain, but also capable of advancing functionality to keep systems globally competitive against all adversaries and ensuring functional safety and cybersecurity capabilities. Virtually every presentation from the U.S. armed services is driving a Modular Open Systems Approach (MOSA) and includes acronyms such as FACE (Future Airborne Capability Environment), SOSA (Sensor Open System Architecture) and other open capability standards. As the old line goes, “the great thing about standards is that there are so many of them!”

In all seriousness, it is vital for the ecosystem of partners that are supporting the efforts of the military and aerospace industries to collaborate, so that our customers can reduce time-to-market, costs and program risk for the next generation of best-in-class platforms. This means aligning behind and investing in solutions that conform to the important standards. Both RTI and Lynx have been strong advocates of open standards such as POSIX and Data Distribution Service (DDSTM) for decades, and are active participants in these standards, along with other standards such as FACE and SOSA. Thanks to the expert guidance of our FACE Verification Authority (VA), LDRA, Lynx has just completed the conformance certifi cation of our real-time operating system, LynxOS178 to the FACE Technical Standard, Edition 3.1 for the FACE Operating System Segment (OSS) General Purpose Profile for PowerPC, Arm, and Intel processor architectures.

This dovetails well with the recent announcement by RTI on the FACE 3.1 conformance certification of RTI Connext TSS, along with RTCA DO-178C DAL A certification evidence for both Connext Cert and Connext TSS. Now, RTI and Lynx have both FACE conformance and DO-178C DAL A certification evidence available for their leading avionics solutions. This combination significantly drives down program risk for any customer building an avionics platform with FACE and DO-178C requirements.

Reuse of proven system (hardware and software) components

One catalyst for this piece was reading words from David Tremper’s keynote at the MOSA Virtual Summit, covered in March 2023 by John McHale. (Tremper is Director, Acquisition Integration and Interoperability, Office of the Undersecretary of Defense.) Tremper’s presentation discussed the need for quantified metrics to validate the benefits of MOSA platforms and architectures.

Nearly all the sought-after benefits of open system initiatives in the defense community – including the U.S. Army MOSA Transformation Office, U.S. Air Force OMS, and the UK MoD Pyramid – hinge on engineers’ ability to effectively reuse system components across different software platforms and/or product lines. Progress has been made at the line replaceable unit (LRU) hardware level, but for software there are some weaknesses in modular standards that prohibit customers from achieving those compelling benefits.

Ideally, we want to enable system integrators to insert a software component into a system as easily as inserting a VPX card into a chassis. The current reality is that porting software across OS platforms is more akin to performing heart-transplant surgery than it is to replacing a line card!

That is because standards lack descriptions of expected behavior and side effects that can inform real-time and hazard analysis. They do not account for the system information that’s needed to build, integrate and configure a comprehensive system to behave correctly. They also do not cover software components that reside in the operating system itself, such as drivers and health monitors.

• 3U & 6U VPX • Single or dual Xilinx FPGAs - UltraScale™/UltraScale+™ - Zynq™ UltraScale+™ / RFSoCs - Versal® • FMC/FMC+ VITA 57.1/57.4 www.elma.com www.interfaceconcept.com Contact our North American sales and support provider: sales@elma.com • 510-656-3400 Front-end processing boards for edge-applications IC-MES1-exe.indd 1 16/03/2023 15:50 www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 41

LYNX MOSA.ic is the next-generation operating system environment designed specifically to overcome the obstacles of software reuse based on three key technologies – Hardware Virtualization, Standard Binary Interconnects, and Unikernel architecture. At the core of LYNX MOSA.ic is a partitioning system that uses hardware virtualization to robustly separate safety and security domains. All mission system software running on LYNX MOSA.ic is confined within virtual machines that use standard VirtIO interconnects to compose complete systems. The use of VirtIO sets a new milestone in progressing software reusability in mission system integration where software components can be isolated and connected at the binary level – meaning previously compiled software can be inserted into LYNX MOSA.ic without recompiling the software. Unikernels are complete runtime environments that allow applications to locally resolve standard data service dependencies, e.g., the FACE TSS and

OSS, in its local address space. Typically, applications are deployed as incomplete modules where a large portion of the work demanded by applications is executing in a complex central space within the operating system, which creates separability, execution predictability, and security concerns.

The unikernel architecture enables the construction of complete software modules and simpler execution timing of software functions. Unikernels can also be linked together to construct complete stacks out of separable segments. The figure below depicts a FACE software stack composed of replaceable software segment modules, wherein each module author is free to use their programming language and toolchains of choice, and a system integrator will connect the stack segments through standard binary interfaces.

After a lot of “discussion” about standards, market forces are turning these

conversations into reality. As an industry, the principal motivation is time. Aligning to standards is simply the only way that technologies from the U.S. and its allies can maintain their dominance over their rivals. The repercussions of keeping closed fiefdoms are being eradicated. With the carrot of end customers mandating these types of open platforms, companies in the supply chain are rallying to accelerate the delivery of valuable innovation around those standards. We need to do, and are indeed doing, more to drive down system costs, break apart vendor lock, and truly deliver on the promise of hardware and software reuse. MES

Empower sustainable aviation with digital twins

Sponsored by Siemens

To reach net-zero emissions by 2050, the aviation sector must rapidly accomplish its complete technology transformation. Moreover,this schedule tightens even more considering the radical changes in aircraft architectures and technologies required to reach commercial maturity. Achieving this complex technology development and maturation in such a limited period is a unique challenge in industrial history.

In this webcast, discover how Simcenter simulation and testing solutions, part of the Siemens Xcelerator portfolio, can help users address engineering challenges related to electrified propulsion, alternative fuels, new aerodynamic configurations, and structural architectures. (This is an archived webcast.)

Watch this webcast: https://bit.ly/3LHMttO

https://www.lynx.com/
WATCH MORE WEBCASTS: https://militaryembedded.com/webcasts/archive/ INDUSTRY PERSPECTIVE 42 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com

NAVIGATE ... THROUGH ALL PARTS OF THE DESIGN PROCESS

TECHNOLOGY, TRENDS, AND PRODUCTS DRIVING THE DESIGN PROCESS

Military Embedded Systems focuses on embedded electronics – hardware and software – for military applications through technical coverage of all parts of the design process. The website, Resource Guide, e-mags, newsletters, podcasts, webcasts, and print editions provide insight on embedded tools and strategies including technology insertion, obsolescence management, standards adoption, and many other military-specific technical subjects.

Coverage areas include the latest innovative products, technology, and market trends driving military embedded applications such as radar, electronic warfare, unmanned systems, cybersecurity, AI and machine learning, avionics, and more. Each issue is full of the information readers need to stay connected to the pulse of embedded technology in the military and aerospace industries.

militaryembedded.com

Ultra-lightweight terminal for crewed, uncrewed platforms

An ultra-lightweight communications terminal from Inmarsat Government – the L-band Airborne Intelligence, Surveillance, and Reconnaissance Ultra-Lightweight (LAISR ULW) user terminal – enables access to high-availability, high-performance, full-duplex, secure beyond line-of-sight (BLOS) communications via the Inmarsat global ELERA L-band network. Designed to reduce total terminal SWaP [size, weight, and power], the terminal can be outfitted with multiple antenna options, enabling the user to choose from such options as compact omnidirectional patch antennas to fuselage-mounted, high-gain, steered variants. It does not require external navigation data from the host platform and can operate in GPS-denied environments. The terminal connects via Inmarsat Government’s Black ICE medium software-defined fadio (SDR), which implements the Digital Video Broadcasting Satellite Second Generation (DVB-S2X) waveform in a low-SWaP form factor. It also includes an advanced radio frequency front end (RFFE), which provides filtering capabilities including automatic terrestrial interference protection. LAISR ULW also uses the company’s multiprotocol label switching (MPLS) terrestrial backbone to transport customer traffic from the platform to its destination securely. The terminal can be implemented in a standalone configuration or retrofitted into an existing aircraft.

Inmarsat Government | https://www.inmarsatgov.com/

Negative-slope equalizers for broadband applications

Fairview Microwave offers a line of negative-slope equalizers specifically designed for various broadband applications, such as electronic countermeasures, electronic warfare, and microwave radio. These equalizers – offering linearity, reliability, and low voltage standing wave ratio (VSWR) –are built for use in challenging conditions with military-grade, compact, coaxial package designs and can operate in temperatures ranging from 0 °C (32 °F) to +90 °C (194 °F). The equalizers cover octave bandwidths from 1 GHz to 26.5 GHz and feature low insertion loss from 0.5 dB to 1.2 dB. Additionally, they can handle a maximum input power of 150 mW, enabling users to transmit higher power without risking damage to antenna ports from overloading.

Fairview Microwave’s negative-slope equalizers are designed to address performance issues in signal-processing channels bycompensating for the broadband gain response of amplifiers, which typically roll off at the upper end of the frequency band. By delivering a more balanced gain response across the entire frequency range, these equalizers improve overall system performance and signal integrity in demanding applications. The equalizers’ rugged military-grade construction is built for harsh environments, making them suitable for mission-critical applications. Their compact, coaxial package design enables seamless integration into existing systems, reducing the complexity of installations and maintenance.

Fairview Microwave | www.fairviewmicrowave.com

High-performance plug-in-card

Concurrent Technologies offers Hermes, a high-performance plug-in card based on an Intel processor. The card is intended for use by systems integrators as the foundation for its systemlevel products requiring a high-performance processor. Applications include situational awareness, command and control, mission computing, and rugged storage. Hermes is designed in line with recent technical standards and leverages the performance of the 13th-generation Intel core i7-13800HRE processor, previously known as Raptor Lake. Compared to the previous generation plug-in card, Hermes has more processor cores, triple the number of accelerator engines, and double the memory capacity.

The processor’s increased compute capability enables consolidation of all processing functionality onto a single Hermes card instead of requiring a processor and an accelerator. The device will be available for two different system-level cooling standards, further extending its use cases to even more demanding environments and applications. Such flexibility enables the deployment of Hermes in different types of applications that need enhanced processing power, thereby reducing the need for additional hardware and making it suitable for diverse environments and requirements.

Concurrent Technologies | www.gocct.com

EDITOR’S CHOICE PRODUCTS 44 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com

Rugged NVR computer portfolio for surveillance

Enterprise computing provider Premio provides a range of rugged network video recorder (NVR)computers, which enable real-time edge computing power in surveillance applications and support immediate inference analysis and event response. Built to endure harsh conditions, the rugged NVR computers feature a wide operating temperature, resistance to shock and vibration, a fanless design, and waterproof models. They enable flexibility in power source deployment and resilient industrial touch displays for monitoring and interaction in various environments.

Premio offers the RCO-6000-CML-4NH-1E network video recorder, powered by Intel 10th-generation core processors, which featuresedge AI (artificial intelligence) processing, high-speed NVMe storage, modular I/O configurability, and wireless connectivity in a rugged enclosure. Its hot-swappable NVMe data brick supports high-speed read/write performance for real-time processing of mission-critical data. Another model, the RCO-3000-CML, is a compact, fanless industrial computer built on the Intel 10th-generation “Comet Lake” processor. This model offers the user balanced processing performance plus modular I/O configurability, leveraging Premio EDGEBoost I/O modules for enhanced connectivity, integration, and automation.

Camera uses AI technology for maritime missions

The HD80-AIM camera, from Trillium Engineering, is an Artificial Intelligence – Maritime (AIM) gimbal that leverages Trillium’s SkyLink-AIM software, artificial intelligence (AI) capabilities, and core imaging technology to support wide-area search, surveillance, and vessel identification for maritime missions.

The HD80-AIM features two primary full-motion video (FMV) cameras: a 640x512 cooled MWIR [mid-wave infrared] camera with 10x optical zoom lens and a 1080p visible camera with 36x optical zoom. These cameras enable close vessel inspection and identification from a safe distance, using visible, near-IR, and MWIR image bands for day and night search capabilities. The SkyLink-AIM onboard data-processing software, search algorithms, and AI engines work together to systematically and continuously scan the search area and highlight objects of interest. The HD80-AIM notifies the operator and presents geolocation points with an image clip for further assessment when objects of interest are flagged for human review. The HD80-AIM is intended for use in intelligence, surveillance, and reconnaissance (ISR) missions in commercial, civil, and government sectors.

Trillium Engineering | www.trilliumeng.com

Threat-informed defense platform

Cybersecurity company Tidal Cyber offers the Enterprise Edition of its SaaS threat-informed defense platform. The platform targets defenses based on techniques used by potential adversaries and adjusting as these tactics evolve. TheEnterprise Edition gives users advanced threat profiling, a defensive stack builder with transparency into how specific security solutions map to different attack objects, and coverage maps to give organizations a summary of their security posture. Additionally, the “Tidal Confidence Score” tool analyzes threats and defenses, quantifying the confidence level of a company’s security posture.

The platform continually tracks changes in threat profiles and defensive stacks, providing notifications and recommendations for defensive actions to address new threats and techniques efficiently. Tidal Cyber had previously released a freely available Community Edition in August 2022, which serves as a virtual research tool for the broader cybersecurity community, enabling users the ability to save and share custom technique sets, as well as giving the wider community access to the Tidal Product Registry and Tidal Community Spotlight tools.

Tidal Cyber | www.tidalcyber.com

EDITOR’S CHOICE PRODUCTS
Premio | www.premioinc.com
www.militaryembedded.com MILITARY EMBEDDED SYSTEMS April/May 2023 45

GIVING BACK

Each issue, the editorial staff of Military Embedded Systems will highlight a different charitable organization that benefits the military, veterans, and their families. We are honored to cover the technology that protects those who protect us every day.

This issue we are highlighting Disabled American Veterans (DAV), a nationwide nonprofit social-welfare organization that has been in operation for more than 100 years, having been created in 1920 by World War I veterans for disabled U.S. military veterans.

DAV materials highlight what it calls its “single purpose”: To empower veterans to lead high-quality lives with respect and dignity. The DAV strives to accomplish this by ensuring that veterans and their families can access the full range of benefits available to them from the government; fighting for the interests of America’s injured and disabled veterans on Capitol Hill; and educating the general public about the great sacrifices and needs of veterans transitioning back to civilian life.

The organization works toward these goals by supporting many programs, including several that provide free, professional assistance to veterans and their families in obtaining benefits and services earned through military service and provided by the Department of Veterans Affairs (VA) and other government entities.

DAV also publicizes its program services to the American people generally, and to disabled veterans and their families specifically; it represents the interests of disabled veterans and deceased veterans’ families before Congress, the White House, and the judiciary, as well as before state and local governments. Additional programs extend services into the communities where these veterans and their families live through a network of state-level departments and local chapters. The organization also facilitates programs through which disabled veterans can help out their fellow veterans through a variety of volunteer programs.

For additional information, please visit https://www.dav.org/.

PODCAST

McHale Report Podcast: With guest Mike Elias

In this episode of the McHale Report podcast, Military Embedded Systems editorial director John McHale invites Mike Elias, president and chief executive officer of Frontgrade, to discuss topics including space electronics trends, supply-chain challenges, the Modular Open Systems Approach (MOSA), and more.

During their wide-ranging conversation, McHale and Elias discuss how Frontgrade’s rebrand following its purchase by Veritas Capital from CAES affects the company and its clientele. Frontgrade is now a standalone company focused on the military and commercial space markets.

The two also discuss how supply-chain headaches have affected the military space electronics market, how space platforms are adopting the MOSA strategy mandated by the U.S. Department of Defense (DoD), and the challenges involved in engineering recruitment challenges.

Listen to the podcast: https://bit.ly/42iyMbK

Listen to more podcasts: ttps://militaryembedded.com/podcasts

Predictive Maintenance Radar Sensing

Sponsored by A4 Radar –Advanced Algorithms for Radar

Predictive maintenance radar sensing is a key aspect of Industry 4.0, the fourth industrial revolution characterized by the integration of advanced technologies such as the Internet of Things (IoT), big data analytics, and artificial intelligence (AI).

In Industry 4.0, predictive maintenance radar sensing uses advanced sensors and analytics to collect and analyze large amounts of data about equipment performance and behavior. The data is then used to identify patterns and anomalies that may indicate potential problems, enabling teams to take action before a failure occurs.

This white paper discusses how predictive maintenance radar sensing can enable companies to achieve greater efficiency, reduce costs, and improve safety and reliability through proactive maintenance. Predictive maintenance radar sensing, in combination with these other technologies, enables companies to move from a reactive to a proactive maintenance strategy, improving reliability and reducing downtime. Read the white paper: https://bit.ly/3M6HFPY

Read more white papers: https://militaryembedded.com/whitepapers

CONNECTING
EMBEDDED
GIVING BACK | PODCAST | WHITE PAPER | BLOG | VIDEO | SOCIAL MEDIA | WEBCAST 46 April/May 2023 MILITARY EMBEDDED SYSTEMS www.militaryembedded.com
WITH MIL
By Editorial Staff
www.militaryembedded.com
WHITE PAPER

TECHNOLOGY MAKING YOUR HEAD SPIN?

WE CAN HELP YOU MAKE SENSE OF IT ALL

Military Embedded Systems focuses on embedded electronics – hardware and software – for military applications through technical coverage of all parts of the design process. The website, Resource Guide, e-mags, newsletters, podcasts, webcasts, and print editions provide insight on embedded tools and strategies including technology insertion, obsolescence management, standards adoption, and many other military-specific technical subjects.

Coverage areas include the latest innovative products, technology, and market trends driving military embedded applications such as radar, electronic warfare, unmanned systems, cybersecurity, AI and machine learning, avionics, and more. Each issue is full of the information readers need to stay connected to the pulse of embedded technology in the military and aerospace industries.

militaryembedded.com

THE NEXT BIG THING IN RFSoC IS HERE

AND IT’S ONLY 2.5" × 4"

Models 6001 and 6003 QuartzXM modules enable the rapid integration and deployment of RFSoC technology. And the SWaP-friendly design is ideal for aircraft pods, unmanned vehicles and mast-mounted radars.

A Zynq® UltraScale+™ RFSoC plus a full suite of pre-loaded IP modules, robust Navigator ® software, high-speed 100 GigE interfaces and fully integrated hardware from Mercury helps shorten your development time and reduce your design risk.

FORM FACTORS

QuartzXM Module

SOSA aligned 3U VPX

3U VPX

SFF platforms

PCIe

mrcy.com/go/mesrfsoc

MERCURY DIRECT RF BOARDS

Turn static files into dynamic content formats.

Create a flipbook
Issuu converts static files into: digital portfolios, online yearbooks, online catalogs, digital photo albums and more. Sign up and create your flipbook.